Skip to main content

Full text of "Embedded Programming"

See other formats


Includes 
FLEX 1 0KA 



January 2003, ver. 4.2 



FLEX10K 

Embedded Programmable 
Logic Device Family 



Data Sheet 



Features... 



The industry's first embedded programmable logic device (PLD) 
family, providing System-on-a-Programmable-Chip (SOPC) 
integration 

- Embedded array for implementing megafunctions, such as 
efficient memory and specialized logic functions 

- Logic array for general logic functions 
High density 

- 10,000 to 250,000 typical gates (see Tables 1 and 2) 

Up to 40,960 RAM bits; 2,048 bits per embedded array block 
(EAB), all of which can be used without reducing logic capacity 
System-level features 

- MultiVolt™ I/O interface support 

- 5.0-V tolerant input pins in FLEX® 10KA devices 

- Low power consumption (typical specification less than 0.5 mA 
in standby mode for most devices) 

- FLEX 10K and FLEX 10KA devices support peripheral 
component interconnect Special Interest Group (PCI SIG) PCI 
Local Bus Specification, Revision 2.2 

- FLEX 10KA devices include pull-up clamping diode, selectable 
on a pin-by-pin basis for 3.3-V PCI compliance 

- Select FLEX 10KA devices support 5.0-V PCI buses with eight or 
fewer loads 

- Built-in Joint Test Action Group (JTAG) boundary-scan test 
(BST) circuitry compliant with IEEE Std. 1149.1-1990, available 
without consuming any device logic 



Table 1. FLEX 1 0K Device Features 


Feature 


EPF10K10 
EPF10K10A 


EPF10K20 


EPF10K30 
EPF10K30A 


EPF10K40 


EPF10K50 
EPF10K50V 


Typical gates (logic and RAM) (1) 


10,000 


20,000 


30,000 


40,000 


50,000 


Maximum system gates 


31,000 


63,000 


69,000 


93,000 


116,000 


Logic elements (LEs) 


576 


1,152 


1,728 


2,304 


2,880 


Logic array blocks (LABs) 


72 


144 


216 


288 


360 


Embedded array blocks (EABs) 


3 


6 


6 


8 


10 


Total RAM bits 


6,144 


12,288 


12,288 


16,384 


20,480 


Maximum user I/O pins 


150 


189 


246 


189 


310 



Altera Corporation 

DS-F10K-4.2 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 2. FLEX 10K Device Features 


Feature 


EPF10K70 


EPF10K100 
EPF10K100A 


EPF10K130V 


EPF10K250A 


Typical gates (logic and 
RAM) (1) 


70,000 


100,000 


130,000 


250,000 


Maximum system gates 


118,000 


158,000 


211,000 


310,000 


LEs 


3,744 


4,992 


6,656 


12,160 


LABs 


468 


624 


832 


1,520 


EABs 


9 


12 


16 


20 


Total RAM bits 


18,432 


24,576 


32,768 


40,960 


Maximum user I/O pins 


358 


406 


470 


470 



Note to tables: 

(1) The embedded IEEE Std. 1149.1 JTAG circuitry adds up to 31,250 gates in addition to the listed typical or maximum 
system gates. 



...and More 
Features 



Devices are fabricated on advanced processes and operate with 

a 3.3-V or 5.0-V supply voltage (see Table 3 

In-circuit reconfigurability (ICR) via external configuration 

device, intelligent controller, or JTAG port 

ClockLock™ and ClockBoost™ options for reduced clock 

delay /skew and clock multiplication 

Built-in low-skew clock distribution trees 

100% functional testing of all devices; test vectors or scan chains 

are not required 



Table 3. Supply Voltages for FLEX 10K & FLEX 10KA Devices 


5.0-V Devices 


3.3-V Devices 


EPF10K10 


EPF10K10A 


EPF10K20 


EPF10K30A 


EPF10K30 


EPF10K50V 


EPF10K40 


EPF10K100A 


EPF10K50 


EPF10K130V 


EPF10K70 


EPF10K250A 


EPF10K100 





Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Flexible interconnect 

- FastTrack® Interconnect continuous routing structure for fast, 
predictable interconnect delays 

- Dedicated carry chain that implements arithmetic functions such 
as fast adders, counters, and comparators (automatically used by 
software tools and megafunctions) 

- Dedicated cascade chain that implements high-speed, 
high-fan-in logic functions (automatically used by software tools 
and megafunctions) 

- Tri-state emulation that implements internal tri-state buses 

- Up to six global clock signals and four global clear signals 
Powerful I/O pins 

- Individual tri-state output enable control for each pin 

- Open-drain option on each I/O pin 

- Programmable output slew-rate control to reduce switching 
noise 

- FLEX 10KA devices support hot-socketing 
Peripheral register for fast setup and clock-to-output delay 
Flexible package options 

- Available in a variety of packages with 84 to 600 pins (see 
Tables 4 and 5) 

- Pin-compatibility with other FLEX 10K devices in the same 
package 

- FineLine BGA™ packages maximize board space efficiency 
Software design support and automatic place-and-route provided by 
Altera development systems for Windows-based PCs and Sun 
SPARCstation, HP 9000 Series 700/800 workstations 
Additional design entry and simulation support provided by EDIF 
2 and 3 netlist files, library of parameterized modules (LPM), 
DesignWare components, Verilog HDL, VHDL, and other interfaces 
to popular EDA tools from manufacturers such as Cadence, 
Exemplar Logic, Mentor Graphics, OrCAD, Synopsys, Synplicity, 
VeriBest, and Viewlogic 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Table 4. FLEX 10K Package Options & I/O Pin Count Note (1) 


Device 


84-Pin 
PLCC 


100-Pin 
TQFP 


144-Pin TQFP 


208-Pin 
PQFP 
RQFP 


240-Pin 
PQFP 
RQFP 


EPF10K10 


59 




102 


134 




EPF10K10A 




66 


102 


134 




EPF10K20 






102 


147 


189 


EPF10K30 








147 


189 


EPF10K30A 






102 


147 


189 


EPF10K40 








147 


189 


EPF10K50 










189 


EPF10K50V 










189 


EPF10K70 










189 


EPF10K100 












EPF10K100A 










189 


EPF10K130V 












EPF10K250A 













Table 5. FLEX 10K Package Options & I/O Pin Count (Continued) Note (1) 


Device 


503-Pin 
PGA 


599-Pin 
PGA 


256-Pin 
FineLine BGA 


356-Pin 
BGA 


484-Pin 
FineLine BGA 


600-Pin 
BGA 


403-Pin 
PGA 


EPF10K10 
















EPF10K10A 






150 




150 (2) 






EPF10K20 
















EPF10K30 








246 








EPF10K30A 






191 


246 


246 






EPF10K40 
















EPF10K50 








274 






310 


EPF10K50V 








274 








EPF10K70 


358 














EPF10K100 


406 














EPF10K100A 








274 


369 


406 




EPF10K130V 




470 








470 




EPF10K250A 




470 








470 





Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Notes to tables: 

(1) FLEX 10K and FLEX 10KA device package types include plastic J-lead chip carrier (PLCC), thin quad flat pack 
(TQFP), plastic quad flat pack (PQFP), power quad flat pack (RQFP), ball-grid array (BGA), pin-grid array (PGA), 
and FineLine BGA™ packages. 

(2) This option is supported with a 256-pin FineLine BGA package. By using SameFrame pin migration, all FineLine 
BGA packages are pin compatible. For example, a board can be designed to support both 256-pin and 484-pin 
FineLine BGA packages. The Altera software automatically avoids conflicting pins when future migration is set. 



General 
Description 



Altera's FLEX 10K devices are the industry's first embedded PLDs. Based 
on reconfigurable CMOS SRAM elements, the Flexible Logic Element 
MatriX (FLEX) architecture incorporates all features necessary to 
implement common gate array megaf unctions. With up to 250,000 gates, 
the FLEX 10K family provides the density, speed, and features to integrate 
entire systems, including multiple 32-bit buses, into a single device. 

FLEX 10K devices are reconfigurable, which allows 100% testing prior to 
shipment. As a result, the designer is not required to generate test vectors 
for fault coverage purposes. Additionally, the designer does not need to 
manage inventories of different ASIC designs; FLEX 10K devices can be 
configured on the board for the specific functionality required. 

Table 6 shows FLEX 10K performance for some common designs. All 
performance values were obtained with Synopsys DesignWare or LPM 
functions. No special design technique was required to implement the 
applications; the designer simply inferred or instantiated a function in a 
Verilog HDL, VHDL, Altera Hardware Description Language (AHDL), or 
schematic design file. 



Table 6. FLEX 10K & FLEX 10KA Performance 


Application 


Resources 
Used 


Performance 


Units 


LEs 


EABs 


-1 Speed 
Grade 


-2 Speed 
Grade 


-3 Speed 
Grade 


-4 Speed 
Grade 


16-bit loadable 
counter (1) 


16 





204 


166 


125 


95 


MHz 


16-bit accumulator (1) 


16 





204 


166 


125 


95 


MHz 


16-to-1 multiplexer (2; 


10 





4.2 


5.8 


6.0 


7.0 


ns 


256 x 8 RAM read 
cycle speed (3) 





1 


172 


145 


108 


84 


MHz 


256 x 8 RAM write 
cycle speed (3) 





1 


106 


89 


68 


63 


MHz 



Notes: 

(1) The speed grade of this application is limited because of clock high and low specifications. 

(2) This application uses combinatorial inputs and outputs. 

(3) This application uses registered inputs and outputs. 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 

The FLEX 10K architecture is similar to that of embedded gate arrays, the 
fastest-growing segment of the gate array market. As with standard gate 
arrays, embedded gate arrays implement general logic in a conventional 
"sea-of-gates" architecture. In addition, embedded gate arrays have 
dedicated die areas for implementing large, specialized functions. By 
embedding functions in silicon, embedded gate arrays provide reduced 
die area and increased speed compared to standard gate arrays. However, 
embedded megafunctions typically cannot be customized, limiting the 
designer's options. In contrast, FLEX 10K devices are programmable, 
providing the designer with full control over embedded megafunctions 
and general logic while facilitating iterative design changes during 
debugging. 

Each FLEX 10K device contains an embedded array and a logic array. The 
embedded array is used to implement a variety of memory functions or 
complex logic functions, such as digital signal processing (DSP), 
microcontroller, wide-data-path manipulation, and data- transformation 
functions. The logic array performs the same function as the sea-of-gates 
in the gate array: it is used to implement general logic, such as counters, 
adders, state machines, and multiplexers. The combination of embedded 
and logic arrays provides the high performance and high density of 
embedded gate arrays, enabling designers to implement an entire system 
on a single device. 

FLEX 10K devices are configured at system power-up with data stored in 
an Altera serial configuration device or provided by a system controller. 
Altera offers the EPC1, EPC2, EPC16, and EPC1441 configuration devices, 
which configure FLEX 10K devices via a serial data stream. Configuration 
data can also be downloaded from system RAM or from Altera 's 
BitBlaster™ serial download cable or ByteBlasterMV™ parallel port 
download cable. After a FLEX 10K device has been configured, it can be 
reconfigured in-circuit by resetting the device and loading new data. 
Because reconfiguration requires less than 320 ms, real-time changes can 
be made during system operation. 

FLEX 10K devices contain an optimized interface that permits 
microprocessors to configure FLEX 10K devices serially or in parallel, and 
synchronously or asynchronously. The interface also enables 
microprocessors to treat a FLEX 10K device as memory and configure the 
device by writing to a virtual memory location, making it very easy for the 
designer to reconfigure the device. 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Functional 
Description 



For more information, see the following documents: 

■ Configuration Devices for APEX & FLEX Devices Data Sheet 

■ BitBlaster Serial Download Cable Data Sheet 

■ ByteBlasterMV Parallel Port Download Cable Data Sheet 

■ Application Note 116 (Configuring APEX 20K, FLEX 10K & FLEX 6000 
Devices) 

FLEX 10K devices are supported by Altera development systems; single, 
integrated packages that offer schematic, text (including AHDL), and 
waveform design entry, compilation and logic synthesis, full simulation 
and worst-case timing analysis, and device configuration. The Altera 
software provides EDIF 2 and 3 0, LPM, VHDL, Verilog HDL, and 
other interfaces for additional design entry and simulation support from 
other industry-standard PC- and UNIX workstation-based EDA tools. 

The Altera software works easily with common gate array EDA tools for 
synthesis and simulation. For example, the Altera software can generate 
Verilog HDL files for simulation with tools such as Cadence Verilog-XL. 
Additionally, the Altera software contains EDA libraries that use device- 
specific features such as carry chains which are used for fast counter and 
arithmetic functions. For instance, the Synopsys Design Compiler library 
supplied with the Altera development systems include DesignWare 
functions that are optimized for the FLEX 10K architecture. 

The Altera development systems run on Windows-based PCs and Sun 
SPARCstation, and HP 9000 Series 700/800 workstations. 

See the MAX+PLUS II Programmable Logic Development System & Software 
Data Sheet for more information. 

Each FLEX 10K device contains an embedded array to implement 
memory and specialized logic functions, and a logic array to implement 
general logic. 

The embedded array consists of a series of EABs. When implementing 
memory functions, each EAB provides 2,048 bits, which can be used to 
create RAM, ROM, dual-port RAM, or first-in first-out (FIFO) functions. 
When implementing logic, each EAB can contribute 100 to 600 gates 
towards complex logic functions, such as multipliers, microcontrollers, 
state machines, and DSP functions. EABs can be used independently, or 
multiple EABs can be combined to implement larger functions. 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 

The logic array consists of logic array blocks (LABs). Each LAB contains 
eight LEs and a local interconnect. An LE consists of a 4-input look-up 
table (LUT), a programmable flipflop, and dedicated signal paths for carry 
and cascade functions. The eight LEs can be used to create medium-sized 
blocks of logic — 8-bit counters, address decoders, or state machines — or 
combined across LABs to create larger logic blocks. Each LAB represents 
about 96 usable gates of logic. 

Signal interconnections within FLEX 10K devices and to and from device 
pins are provided by the FastTrack Interconnect, a series of fast, 
continuous row and column channels that run the entire length and width 
of the device. 

Each I/O pin is fed by an I/O element (IOE) located at the end of each row 
and column of the FastTrack Interconnect. Each IOE contains a 
bidirectional I/O buffer and a flipflop that can be used as either an output 
or input register to feed input, output, or bidirectional signals. When used 
with a dedicated clock pin, these registers provide exceptional 
performance. As inputs, they provide setup times as low as 1.6 ns and 
hold times of ns; as outputs, these registers provide clock-to-output 
times as low as 5.3 ns. IOEs provide a variety of features, such as JTAG 
BST support, slew-rate control, tri-state buffers, and open-drain outputs. 

Figure 1 shows a block diagram of the FLEX 10K architecture. Each group 
of LEs is combined into an LAB; LABs are arranged into rows and 
columns. Each row also contains a single EAB. The LABs and EABs are 
interconnected by the FastTrack Interconnect. IOEs are located at the end 
of each row and column of the FastTrack Interconnect. 



8 Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Figure 1. FLEX 1 0K Device Block Diagram 



Embedded Array Block (EAB) 



I/O Element 
(I0E) 




IOE IOE IOE IOE IOE IOE 



lOE-^n 



IOE 



V V 



Column 
Interconnect 



IOE 



IOE 



IOE IOE IOE IOE 



V^V 




r>-IOE 

M 






IOE 



Logic Array 

Logic Array 
Block (LAB) 



Row 
Interconnect 



Logic 
Array 




H hd : U 

rS rS xS; 



IOE IOE IOE IOE IOE IOE 



fSfS 



IOE 



IOE 



Logic Element (LE) 



Local Interconnect 



IOE IOE IOE IOE 



Embedded Array 



FLEX 10K devices provide six dedicated inputs that drive the flip flops' 
control inputs to ensure the efficient distribution of high-speed, low-skew 
(less than 1.5 ns) control signals. These signals use dedicated routing 
channels that provide shorter delays and lower skews than the FastTrack 
Interconnect. Four of the dedicated inputs drive four global signals. These 
four global signals can also be driven by internal logic, providing an ideal 
solution for a clock divider or an internally generated asynchronous clear 
signal that clears many registers in the device. 

Embedded Array Block 

The EAB is a flexible block of RAM with registers on the input and output 
ports, and is used to implement common gate array megaf unctions. The 
EAB is also suitable for functions such as multipliers, vector scalars, and 
error correction circuits, because it is large and flexible. These functions 
can be combined in applications such as digital filters and 
microcontrollers. 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 

Logic functions are implemented by programming the EAB with a read- 
only pattern during configuration, creating a large LUT. With LUTs, 
combinatorial functions are implemented by looking up the results, rather 
than by computing them. This implementation of combinatorial functions 
can be faster than using algorithms implemented in general logic, a 
performance advantage that is further enhanced by the fast access times 
of EABs. The large capacity of EABs enables designers to implement 
complex functions in one logic level without the routing delays associated 
with linked LEs or field-programmable gate array (FPGA) RAM blocks. 
For example, a single EAB can implement a 4 x 4 multiplier with eight 
inputs and eight outputs. Parameterized functions such as LPM functions 
can automatically take advantage of the EAB. 

The EAB provides advantages over FPGAs, which implement on-board 
RAM as arrays of small, distributed RAM blocks. These FPGA RAM 
blocks contain delays that are less predictable as the size of the RAM 
increases. In addition, FPGA RAM blocks are prone to routing problems 
because small blocks of RAM must be connected together to make larger 
blocks. In contrast, EABs can be used to implement large, dedicated blocks 
of RAM that eliminate these timing and routing concerns. 

EABs can be used to implement synchronous RAM, which is easier to use 
than asynchronous RAM. A circuit using asynchronous RAM must 
generate the RAM write enable (WE) signal, while ensuring that its data 
and address signals meet setup and hold time specifications relative to the 
WE signal. In contrast, the EAB's synchronous RAM generates its own WE 
signal and is self-timed with respect to the global clock. A circuit using the 
EAB's self-timed RAM need only meet the setup and hold time 
specifications of the global clock. 

When used as RAM, each EAB can be configured in any of the following 
sizes: 256 x 8, 512 x 4, 1,024 x 2, or 2,048 x 1. See Figure 2. 



Figure 2. EAB Memory Configurations 



256x8 



512x4 



1 ,024 x 2 



2,048 x 1 



10 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Larger blocks of RAM are created by combining multiple EABs. For 
example, two 256 x 8 RAM blocks can be combined to form a 
256 x 16 RAM block; two 512 x 4 blocks of RAM can be combined to form 
a 512 x 8 RAM block. See Figure 3. 



Figure 3. Examples of Combining EABs 

256x16 
f ► 



256x8 



512x8 
f ► 



512x4 



256x8 



512x4 



If necessary, all EABs in a device can be cascaded to form a single RAM 
block. EABs can be cascaded to form RAM blocks of up to 2,048 words 
without impacting timing. Altera's software automatically combines 
EABs to meet a designer's RAM specifications. 

EABs provide flexible options for driving and controlling clock signals. 
Different clocks can be used for the EAB inputs and outputs. Registers can 
be independently inserted on the data input, EAB output, or the address 
and WE inputs. The global signals and the EAB local interconnect can drive 
the WE signal. The global signals, dedicated clock pins, and EAB local 
interconnect can drive the EAB clock signals. Because the LEs drive the 
EAB local interconnect, the LEs can control the WE signal or the EAB clock 
signals. 

Each EAB is fed by a row interconnect and can drive out to row and 
column interconnects. Each EAB output can drive up to two row channels 
and up to two column channels; the unused row channel can be driven by 
other LEs. This feature increases the routing resources available for EAB 
outputs. See Figure 4. 



Altera Corporation 



11 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Figure 4. FLEX 10K Embedded Array Block 



Dedicated Inputs 
Global Signals 



Chip-Wide 
Reset 



Row Interconnect 



(1) 



8, 4, 2, 1 



y 
8,9, 10, 11 



^ 



^ 



T 



■ts 



■^ 



T 



D Q 

> 



V 




D Q 

fc> 



V 



D Q 

> 



V 



Data 
In 



Address 

RAM/ROM 

256x8 

512x4 

1 ,024 x 2 

2,048 x 1 

WE 



t 



tE 



2,4,8, 16 



Column 

Interconnect 



EAB Local Interconnect (1) 

Note: 

(1) EPF10K10, EPF10K10A, EPF10K20, EPF10K30, EPF10K30A, EPF10K40, EPF10K50, and EPF10K50V devices have 

22 EAB local interconnect channels; EPF10K70, EPF10K100, EPF10K100A, EPF10K130V, and EPF10K250A devices 

have 26. 



12 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Logic Array Block 

Each LAB consists of eight LEs, their associated carry and cascade chains, 
LAB control signals, and the LAB local interconnect. The LAB provides 
the coarse-grained structure to the FLEX 10K architecture, facilitating 
efficient routing with optimum device utilization and high performance. 
See Figure 5. 



Figure 5. FLEX 10K LAB 



Dedicated Inputs & 
Global Signals 



A 



Row Interconnect 



Nvi 



LAB Local 
Interconnect (2) 



LAB Control 
Signals 



(V 



1 



4 



HI 



Carry-In & 
'O Cascade-In 



i 



LE1 



LE2 



LE3 



LE4 



LE5 



LE6 



LE7 



LE8 



16 



_> 



24 




T 



16 



/ 



See Figure 1 1 
for details. 



Column-to-Row 
Interconnect 



Column 
Interconnect 



_w Carry-Out & 
Cascade-Out 

Notes: 

(1) EPF10K10, EPF10K10A, EPF10K20, EPF10K30, EPF10K30A, EPF10K40, EPF10K50, and EPF10K50V devices have 
22 inputs to the LAB local interconnect channel from the row; EPF10K70, EPF10K100, EPF10K100A, EPF10K130V, 
and EPF10K250A devices have 26. 

(2) EPF10K10, EPF10K10A, EPF10K20, EPF10K30, EPF10K30A, EPF10K40, EPF10K50, and EPF10K50V devices have 
30 LAB local interconnect channels; EPF10K70, EPF10K100, EPF10K100A, EPF10K130V, and EPF10K250A devices 
have 34 LABs. 



Altera Corporation 



13 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 

Each LAB provides four control signals with programmable inversion 
that can be used in all eight LEs. Two of these signals can be used as clocks; 
the other two can be used for clear /preset control. The LAB clocks can be 
driven by the dedicated clock input pins, global signals, I/O signals, or 
internal signals via the LAB local interconnect. The LAB preset and clear 
control signals can be driven by the global signals, I/O signals, or internal 
signals via the LAB local interconnect. The global control signals are 
typically used for global clock, clear, or preset signals because they 
provide asynchronous control with very low skew across the device. If 
logic is required on a control signal, it can be generated in one or more LEs 
in any LAB and driven into the local interconnect of the target LAB. In 
addition, the global control signals can be generated from LE outputs. 

Logic Element 

The LE, the smallest unit of logic in the FLEX 10K architecture, has a 
compact size that provides efficient logic utilization. Each LE contains a 
four-input LUT, which is a function generator that can quickly compute 
any function of four variables. In addition, each LE contains a 
programmable flipflop with a synchronous enable, a carry chain, and a 
cascade chain. Each LE drives both the local and the FastTrack 
Interconnect. See Figure 6. 



Figure 6. FLEX 1 0K Logic Element 



Carry-In Cascade-In 



Register Bypass 



datal 
data2 
data3 
data4 



labctrh 
Iabctrl2 



Chip-Wide 
Reset 



Iabctrl3 
Iabctrl4 



Programmable 
Register 




To FastTrack 
Interconnect 



To LAB Local 
Interconnect 



Carry-Out 



Cascade-Out 



14 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



The programmable flipflop in the LE can be configured for D, T, JK, or SR 
operation. The clock, clear, and preset control signals on the flipflop can 
be driven by global signals, general-purpose I/O pins, or any internal 
logic. For combinatorial functions, the flipflop is bypassed and the output 
of the LUT drives the output of the LE. 

The LE has two outputs that drive the interconnect; one drives the local 
interconnect and the other drives either the row or column FastTrack 
Interconnect. The two outputs can be controlled independently. For 
example, the LUT can drive one output while the register drives the other 
output. This feature, called register packing, can improve LE utilization 
because the register and the LUT can be used for unrelated functions. 

The FLEX 10K architecture provides two types of dedicated high-speed 
data paths that connect adjacent LEs without using local interconnect 
paths: carry chains and cascade chains. The carry chain supports high- 
speed counters and adders; the cascade chain implements wide-input 
functions with minimum delay. Carry and cascade chains connect all LEs 
in an LAB and all LABs in the same row. Intensive use of carry and 
cascade chains can reduce routing flexibility. Therefore, the use of these 
chains should be limited to speed-critical portions of a design. 

Carry Chain 

The carry chain provides a very fast (as low as 0.2 ns) carry-forward 
function between LEs. The carry-in signal from a lower-order bit drives 
forward into the higher-order bit via the carry chain, and feeds into both 
the LUT and the next portion of the carry chain. This feature allows the 
FLEX 10K architecture to implement high-speed counters, adders, and 
comparators of arbitrary width efficiently. Carry chain logic can be 
created automatically by the Compiler during design processing, or 
manually by the designer during design entry. Parameterized functions 
such as LPM and DesignWare functions automatically take advantage of 
carry chains. 

Carry chains longer than eight LEs are automatically implemented by 
linking LABs together. For enhanced fitting, a long carry chain skips 
alternate LABs in a row. A carry chain longer than one LAB skips either 
from even-numbered LAB to even-numbered LAB, or from odd- 
numbered LAB to odd-numbered LAB. For example, the last LE of the 
first LAB in a row carries to the first LE of the third LAB in the row. The 
carry chain does not cross the E AB at the middle of the row. For instance, 
in the EPF10K50 device, the carry chain stops at the eighteenth LAB and a 
new one begins at the nineteenth LAB. 



Altera Corporation 15 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Figure 7 shows how an n-bit full adder can be implemented in n + 1 LEs 
with the carry chain. One portion of the LUT generates the sum of two bits 
using the input signals and the carry-in signal; the sum is routed to the 
output of the LE. The register can either be bypassed for simple adders or 
be used for an accumulator function. The carry chain logic generates the 
carry-out signal, which is routed directly to the carry-in signal of the next- 
higher-order bit. The final carry-out signal is routed to an LE, where it can 
be used as a general-purpose signal. 



Figure 7. Carry Chain Operation (n-bit Full Adder) 

Carry-In 



a1 
b1 



LUT 



Register 



Carry Chain 



a2 
b2 



LE1 



s1 



LUT 



Register 



Carry Chain 



LE2 



s2 



an 
bn 



LUT 



Register 



SA7 



Carry Chain 



LEn 



LUT 



Register 



-► Carry-Out 



Carry Chain 



LE/7+1 



16 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Cascade Chain 

With the cascade chain, the FLEX 10K architecture can implement 
functions that have a very wide fan-in. Adjacent LUTs can be used to 
compute portions of the function in parallel; the cascade chain serially 
connects the intermediate values. The cascade chain can use a logical AND 
or logical OR (via De Morgan's inversion) to connect the outputs of 
adjacent LEs. Each additional LE provides four more inputs to the 
effective width of a function, with a delay as low as 0.7 ns per LE. Cascade 
chain logic can be created automatically by the Compiler during design 
processing, or manually by the designer during design entry. 

Cascade chains longer than eight bits are implemented automatically by 
linking several LABs together. For easier routing, a long cascade chain 
skips every other LAB in a row. A cascade chain longer than one LAB 
skips either from even-numbered LAB to even-numbered LAB, or from 
odd-numbered LAB to odd-numbered LAB (e.g., the last LE of the first 
LAB in a row cascades to the first LE of the third LAB). The cascade chain 
does not cross the center of the row (e.g., in the EPF10K50 device, the 
cascade chain stops at the eighteenth LAB and a new one begins at the 
nineteenth LAB). This break is due to the EAB's placement in the middle 
of the row. 

Figure 8 shows how the cascade function can connect adjacent LEs to form 
functions with a wide fan-in. These examples show functions of 4n 
variables implemented with n LEs. The LE delay is as low as 1.6 ns; the 
cascade chain delay is as low as 0.7 ns. With the cascade chain, 3.7 ns is 
needed to decode a 16-bit address. 



Figure 8. Cascade Chain Operation 

AND Cascade Chain 



OR Cascade Chain 



d[3..0] 



d[7..4] 




d[3..0] 



d[7..4] 




d[(4/7-1)..(4r?-4)] 



LUT 



d[(4/7-1)..(4n-4)] 



LEn 



LUT 



LEn : 



Altera Corporation 



17 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



LE Operating Modes 

The FLEX 10K LE can operate in the following four modes: 

■ Normal mode 

■ Arithmetic mode 

■ Up /down counter mode 

■ Clearable counter mode 

Each of these modes uses LE resources differently. In each mode, seven 
available inputs to the LE — the four data inputs from the LAB local 
interconnect, the feedback from the programmable register, and the 
carry-in and cascade-in from the previous LE — are directed to different 
destinations to implement the desired logic function. Three inputs to the 
LE provide clock, clear, and preset control for the register. The Altera 
software, in conjunction with parameterized functions such as LPM and 
DesignWare functions, automatically chooses the appropriate mode for 
common functions such as counters, adders, and multipliers. If required, 
the designer can also create special-purpose functions which use a specific 
LE operating mode for optimal performance. 

The architecture provides a synchronous clock enable to the register in all 
four modes. The Altera software can set DATA1 to enable the register 
synchronously, providing easy implementation of fully synchronous 
designs. 

Figure 9 shows the LE operating modes. 



18 Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Figure 9. FLEX 10KLE Operating Modes 

Normal Mode 

Carry-In Cascade-In (1) 

datal 
data2 

data3 



data4 




LE-Out to FastTrack 
Interconnect 



LE-Out to Local 
Interconnect 



Cascade-Out 



Arithmetic Mode 

Carry-In 



datal 
data2 



Cascade-In 




LE-Out 



Cascade-Out 



Up/Down Counter Mode 

Carry-In 



datal (ena) 
data2 (u/d) 



data3 (data) 



data4 (nload) 



Cascade-In 




► LE-Out 



Carry-Out Cascade-Out 



Clearable Counter Mode 

Carry-In 



datal (ena) 
data2 (nclr) 



data3 (data) 



data4 (nload) 




► LE-Out 



Cascade-Out 



Note: 

(1) Packed registers cannot be used with the cascade chain. 



Altera Corporation 



19 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Normal Mode 

The normal mode is suitable for general logic applications and wide 
decoding functions that can take advantage of a cascade chain. In normal 
mode, four data inputs from the LAB local interconnect and the carry-in 
are inputs to a four-input LUT. The Compiler automatically selects the 
carry-in or the DATA 3 signal as one of the inputs to the LUT. The LUT 
output can be combined with the cascade-in signal to form a cascade chain 
through the cascade-out signal. Either the register or the LUT can be used 
to drive both the local interconnect and the FastTrack Interconnect at the 
same time. 

The LUT and the register in the LE can be used independently; this feature 
is known as register packing. To support register packing, the LE has two 
outputs; one drives the local interconnect and the other drives the 
FastTrack Interconnect. The DATA 4 signal can drive the register directly, 
allowing the LUT to compute a function that is independent of the 
registered signal; a three-input function can be computed in the LUT, and 
a fourth independent signal can be registered. Alternatively, a four-input 
function can be generated, and one of the inputs to this function can be 
used to drive the register. The register in a packed LE can still use the clock 
enable, clear, and preset signals in the LE. In a packed LE, the register can 
drive the FastTrack Interconnect while the LUT drives the local 
interconnect, or vice versa. 

Arithmetic Mode 

The arithmetic mode offers 2 three-input LUTs that are ideal for 
implementing adders, accumulators, and comparators. One LUT 
computes a three-input function, and the other generates a carry output. 
As shown in Figure 9 on page 19, the first LUT uses the carry-in signal and 
two data inputs from the LAB local interconnect to generate a 
combinatorial or registered output. For example, in an adder, this output 
is the sum of three signals: a, b, and carry-in. The second LUT uses the 
same three signals to generate a carry-out signal, thereby creating a carry 
chain. The arithmetic mode also supports simultaneous use of the cascade 
chain. 



20 Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Up/Down Counter Mode 

The up /down counter mode offers counter enable, clock enable, 
synchronous up /down control, and data loading options. These control 
signals are generated by the data inputs from the LAB local interconnect, 
the carry-in signal, and output feedback from the programmable register. 
The Up /down counter mode uses 2 three-input LUTs: one generates the 
counter data, and the other generates the fast carry bit. A 2-to-l 
multiplexer provides synchronous loading. Data can also be loaded 
asynchronously with the clear and preset register control signals, without 
using the LUT resources. 

Clearable Counter Mode 

The clearable counter mode is similar to the up /down counter mode, but 
supports a synchronous clear instead of the up /down control. The clear 
function is substituted for the cascade-in signal in the up /down counter 
mode. Clearable counter mode uses 2 three-input LUTs: one generates the 
counter data, and the other generates the fast carry bit. Synchronous 
loading is provided by a 2-to-l multiplexer. The output of this multiplexer 
is ANDed with a synchronous clear signal. 

Internal Tri-State Emulation 

Internal tri-state emulation provides internal tri-stating without the 
limitations of a physical tri-state bus. In a physical tri-state bus, the 
tri-state buffers' output enable (OE) signals select which signal drives the 
bus. However, if multiple OE signals are active, contending signals can be 
driven onto the bus. Conversely, if no OE signals are active, the bus will 
float. Internal tri-state emulation resolves contending tri-state buffers to a 
low value and floating buses to a high value, thereby eliminating these 
problems. The Altera software automatically implements tri-state bus 
functionality with a multiplexer. 

Clear & Preset Logic Control 

Logic for the programmable register's clear and preset functions is 
controlled by the DATA3, LABCTRL1, and LABCTRL2 inputs to the LE. The 
clear and preset control structure of the LE asynchronously loads signals 
into a register. Either LABCTRL1 or LABCTRL2 can control the 
asynchronous clear. Alternatively, the register can be set up so that 
LABCTRL1 implements an asynchronous load. The data to be loaded is 
driven to DAT A3; when LABCTRL1 is asserted, DATA 3 is loaded into the 
register. 



Altera Corporation 21 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 

During compilation, the Compiler automatically selects the best control 
signal implementation. Because the clear and preset functions are active- 
low, the Compiler automatically assigns a logic high to an unused clear or 
preset. 

The clear and preset logic is implemented in one of the following six 
modes chosen during design entry: 

■ Asynchronous clear 

■ Asynchronous preset 

■ Asynchronous clear and preset 

■ Asynchronous load with clear 

■ Asynchronous load with preset 

■ Asynchronous load without clear or preset 

In addition to the six clear and preset modes, FLEX 10K devices provide a 
chip-wide reset pin that can reset all registers in the device. Use of this 
feature is set during design entry. In any of the clear and preset modes, the 
chip-wide reset overrides all other signals. Registers with asynchronous 
presets may be preset when the chip-wide reset is asserted. Inversion can 
be used to implement the asynchronous preset. Figure 10 shows examples 
of how to enter a section of a design for the desired functionality. 



22 Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Figure 10. LE Clear & Preset Modes 



Asynchronous Clear 



Asynchronous Preset 



vcc 



labctrh or 

Iabctrl2 

Chip-Wide Reset 












I— 




Iabctrl2 




o 




= 


PRN 
D Q 

> 
CLRN 




vcc 






Asynchronous Clear & Preset 

labctrh 



Iabctrl2 
Chip-Wide Reset 




Asynchronous Load with Clear 



Asynchronous Load without Clear or Preset 



labctrh 

(Asynchronous 

Load) 

data3 
(Data) 



Iabctrl2 

(Clear) 

Chip-Wide Reset 




labctrh 

(Asynchronous 

Load) 

data3 
(Data) 




Chip-WideReset 



Asynchronous Load with Preset 



labctrh 

(Asynchronous 

Load) 

Iabctrl2 
(Preset) 



data3 
(Data) 




Chip-Wide Reset 



Asynchronous Clear 

The flipflop can be cleared by either LABCTRL1 or LABCTRL2. In this 
mode, the preset signal is tied to V^c to deactivate it. 



Altera Corporation 



23 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Asynchronous Preset 

An asynchronous preset is implemented as either an asynchronous load, 
or with an asynchronous clear. If DATA3 is tied to V cc , asserting 
LABCTRL1 asynchronously loads a one into the register. Alternatively, the 
Altera software can provide preset control by using the clear and 
inverting the input and output of the register. Inversion control is 
available for the inputs to both LEs and IOEs. Therefore, if a register is 
preset by only one of the two LABCTRL signals, the DATA3 input is not 
needed and can be used for one of the LE operating modes. 

Asynchronous Preset & Clear 

When implementing asynchronous clear and preset, LABCTRL 1 controls 
the preset and LABCTRL 2 controls the clear. DAT A3 is tied to V C c, 
therefore, asserting LABCTRL 1 asynchronously loads a one into the 
register, effectively presetting the register. Asserting LABCTRL 2 clears the 
register. 

Asynchronous Load with Clear 

When implementing an asynchronous load in conjunction with the clear, 
LABCTRL 1 implements the asynchronous load of DATA3 by controlling 
the register preset and clear. LAB CTRL 2 implements the clear by 
controlling the register clear; LABCTRL 2 does not have to feed the preset 
circuits. 

Asynchronous Load with Preset 

When implementing an asynchronous load in conjunction with preset, the 
Altera software provides preset control by using the clear and inverting 
the input and output of the register. Asserting LAB CTRL 2 presets the 
register, while asserting LABCTRL 1 loads the register. The Altera software 
inverts the signal that drives DATA 3 to account for the inversion of the 
register's output. 

Asynchronous Load without Preset or Clear 

When implementing an asynchronous load without preset or clear, 
LABCTRL 1 implements the asynchronous load of DATA3 by controlling 
the register preset and clear. 



24 Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



FastTrack Interconnect 

In the FLEX 10K architecture, connections between LEs and device I/O 
pins are provided by the FastTrack Interconnect, which is a series of 
continuous horizontal and vertical routing channels that traverse the 
device. This global routing structure provides predictable performance, 
even in complex designs. In contrast, the segmented routing in FPGAs 
requires switch matrices to connect a variable number of routing paths, 
increasing the delays between logic resources and reducing performance. 

The FastTrack Interconnect consists of row and column interconnect 
channels that span the entire device. Each row of LABs is served by a 
dedicated row interconnect. The row interconnect can drive I/O pins and 
feed other LABs in the device. The column interconnect routes signals 
between rows and can drive I/O pins. 

A row channel can be driven by an LE or by one of three column channels. 
These four signals feed dual 4-to-l multiplexers that connect to two 
specific row channels. These multiplexers, which are connected to each 
LE, allow column channels to drive row channels even when all eight LEs 
in an LAB drive the row interconnect. 

Each column of LABs is served by a dedicated column interconnect. The 
column interconnect can then drive I/O pins or another row's 
interconnect to route the signals to other LABs in the device. A signal from 
the column interconnect, which can be either the output of an LE or an 
input from an I/O pin, must be routed to the row interconnect before it 
can enter an LAB or EAB. Each row channel that is driven by an IOE or 
EAB can drive one specific column channel. 

Access to row and column channels can be switched between LEs in 
adjacent pairs of LABs. For example, an LE in one LAB can drive the row 
and column channels normally driven by a particular LE in the adjacent 
LAB in the same row, and vice versa. This routing flexibility enables 
routing resources to be used more efficiently. See Figure 11. 



Altera Corporation 25 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Figure 11. LAB Connections to Row & Column Interconnect 



Column 
Channels 



Row Channels < 




4? 



\° 



tt* 



At each intersection, 
four row channels can 
drive column channels. 



Each LE can drive two 
row channels. 




'-s 



%- 



Each LE can switch 
interconnect access 
with an LE in the 
adjacent LAB. 



To Other 
Columns 



From Adjacent LAB 
To Adjacent LAB 



To LAB Local 
Interconnect 



To Other Rows 



26 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



For improved routing, the row interconnect is comprised of a combination 
of full-length and half-length channels. The full-length channels connect 
to all LABs in a row; the half-length channels connect to the LABs in half 
of the row. The EAB can be driven by the half-length channels in the left 
half of the row and by the full-length channels. The EAB drives out to the 
full-length channels. In addition to providing a predictable, row-wide 
interconnect, this architecture provides increased routing resources. Two 
neighboring LABs can be connected using a half-row channel, thereby 
saving the other half of the channel for the other half of the row. 



Table 7 summarizes the FastTrack Interconnect resources available in 
each FLEX 10K device. 



Table 7. FLEX 10K FastTrack Interconnect Resources 


Device 


Rows 


Channels per 
Row 


Columns 


Channels per 
Column 


EPF10K10 
EPF10K10A 


3 


144 


24 


24 


EPF10K20 


6 


144 


24 


24 


EPF10K30 
EPF10K30A 


6 


216 


36 


24 


EPF10K40 


8 


216 


36 


24 


EPF10K50 
EPF10K50V 


10 


216 


36 


24 


EPF10K70 


9 


312 


52 


24 


EPF10K100 
EPF10K100A 


12 


312 


52 


24 


EPF10K130V 


16 


312 


52 


32 


EPF10K250A 


20 


456 


76 


40 



In addition to general-purpose I/O pins, FLEX 10K devices have six 
dedicated input pins that provide low-skew signal distribution across the 
device. These six inputs can be used for global clock, clear, preset, and 
peripheral output enable and clock enable control signals. These signals 
are available as control signals for all LABs and IOEs in the device. 

The dedicated inputs can also be used as general-purpose data inputs 
because they can feed the local interconnect of each LAB in the device. 
However, the use of dedicated inputs as data inputs can introduce 
additional delay into the control signal network. 



Altera Corporation 



27 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 

Figure 12 shows the interconnection of adjacent LABs and E ABs with row, 
column, and local interconnects, as well as the associated cascade and 
carry chains. Each LAB is labeled according to its location: a letter 
represents the row and a number represents the column. For example, 
LAB B3 is in row B, column 3. 

Figure 12. Interconnect Resources 



I/O Element (lOE) 



lOE 



lOE 



Row 
Interconnect 



Column 
Interconnect 



ioe 



ioe 



IOE 



IOE 



V 



H 



LAB 
A1 



t 



H 



LAB 
B1 



IOE 



IOE 



4_i 



IOE 



IOE 



fi 



H 



LAB 
A2 



V 



s 



LAB 
B2 



•¥ 



N See Figure 15 

\ for details. 
\ 



s 



S 



LAB 
A3 



K 



m 



LAB 
B3 



? 



u 






u 


fS 


<S 


fS 


IOE IOE 


|lOE| 


OE 


IOE IOE 



IOE 



0-D 



IOE 



s. 



See Figure 14 
for details. 



►To LAB A5 
►To LAB A4 







IOE 

• 
• 
• 

IOE 













Cascade & 
Carry Chains 



^ .To LAB B5 
-►To LAB B4 



28 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



I/O Element 

An I/O element (IOE) contains a bidirectional I/O buffer and a register 
that can be used either as an input register for external data that requires 
a fast setup time, or as an output register for data that requires fast clock- 
to-output performance. In some cases, using an LE register for an input 
register will result in a faster setup time than using an IOE register. IOEs 
can be used as input, output, or bidirectional pins. For bidirectional 
registered I/O implementation, the output register should be in the IOE 
and, the data input and output enable register should be LE registers 
placed adjacent to the bidirectional pin. The Compiler uses the 
programmable inversion option to invert signals from the row and 
column interconnect automatically where appropriate. Figure 13 shows 
the bidirectional I/O registers. 



Altera Corporation 29 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Figure 13. Bidirectional I/O Registers 



Row and Column 2 Dedicated 
Interconnect Clock Inputs 



OE Register 




Chip-Wide 
Reset 



30 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Each IOE selects the clock, clear, clock enable, and output enable controls 
from a network of I/O control signals called the peripheral control bus. 
The peripheral control bus uses high-speed drivers to minimize signal 
skew across devices; it provides up to 12 peripheral control signals that 
can be allocated as follows: 

■ Up to eight output enable signals 

■ Up to six clock enable signals 

■ Up to two clock signals 

■ Up to two clear signals 

If more than six clock enable or eight output enable signals are required, 
each IOE on the device can be controlled by clock enable and output 
enable signals driven by specific LEs. In addition to the two clock signals 
available on the peripheral control bus, each IOE can use one of two 
dedicated clock pins. Each peripheral control signal can be driven by any 
of the dedicated input pins or the first LE of each LAB in a particular row. 
In addition, an LE in a different row can drive a column interconnect, 
which causes a row interconnect to drive the peripheral control signal. 
The chip-wide reset signal will reset all IOE registers, overriding any other 
control signals. 

Tables 8 and 9 list the sources for each peripheral control signal, and the 
rows that can drive global signals. These tables also show how the output 
enable, clock enable, clock, and clear signals share 12 peripheral control 
signals. 



Altera Corporation 31 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Table 8. EPF10K10, EPF10K20, EPF10K30, EPF10K40 & EPF10K50 Peripheral Bus Sources 


Peripheral 
Control Signal 


EPF10K10 
EPF10K10A 


EPF10K20 


EPF10K30 
EPF10K30A 


EPF10K40 


EPF10K50 
EPF10K50V 


OEO 


Row A 


Row A 


Row A 


Row A 


Row A 


OE1 


Row A 


Row B 


Row B 


RowC 


RowB 


OE2 


RowB 


RowC 


RowC 


Row D 


RowD 


OE3 


RowB 


Row D 


Row D 


Row E 


Row F 


OE4 


RowC 


Row E 


Row E 


Row F 


Row H 


OE5 


RowC 


Row F 


Row F 


RowG 


Row J 


CLKENA0/CLK0/GLOBAL0 


Row A 


Row A 


Row A 


RowB 


Row A 


CLKENA1 /OE 6 /GLOBAL1 


Row A 


Row B 


Row B 


RowC 


RowC 


CLKENA2/CLR0 


RowB 


RowC 


RowC 


Row D 


Row E 


CLKENA3/OE7/GLOBAL2 


RowB 


RowD 


Row D 


Row E 


RowG 


CLKENA4/CLR1 


RowC 


Row E 


Row E 


Row F 


Row I 


CLKENA5/CLK1/GLOBAL3 


RowC 


Row F 


Row F 


RowH 


Row J 



Table 9. EPF10K70, EPF10K100, EPF10K130V& EPF10K250A Peripheral Bus Sources 


Peripheral 
Control Signal 


EPF10K70 


EPF10K100 
EPF10K100A 


EPF10K130V 


EPF10K250A 


OEO 


Row A 


Row A 


RowC 


Row E 


OE1 


Row B 


RowC 


Row E 


RowG 


OE2 


Row D 


Row E 


RowG 


Row I 


OE3 


Row I 


Row L 


Row N 


RowP 


OE4 


RowG 


Row I 


Row K 


Row M 


OE5 


Row H 


RowK 


Row M 


RowO 


CLKENA0/CLK0/GLOBAL0 


Row E 


Row F 


Row H 


Row J 


CLKENA1/OE 6 /GLOBAL 1 


RowC 


Row D 


Row F 


RowH 


CLKENA2/CLR0 


Row B 


RowB 


Row D 


Row F 


CLKENA3 /OE 7 /GLOBAL2 


Row F 


RowH 


Row J 


Row L 


CLKENA4/CLR1 


Row H 


Row J 


Row L 


Row N 


CLKENA5/CLK1/GLOBAL3 


Row E 


RowG 


Row I 


RowK 



32 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Signals on the peripheral control bus can also drive the four global signals, 
referred to as GLOBAL through GLOBAL 3 in Tables 8 and 9. The 
internally generated signal can drive the global signal, providing the same 
low-skew, low-delay characteristics for an internally generated signal as 
for a signal driven by an input. This feature is ideal for internally 
generated clear or clock signals with high fan-out. When a global signal is 
driven by internal logic, the dedicated input pin that drives that global 
signal cannot be used. The dedicated input pin should be driven to a 
known logic state (such as ground) and not be allowed to float. 

When the chip-wide output enable pin is held low, it will tri-state all pins 
on the device. This option can be set in the Global Project Device Options 
menu. Additionally, the registers in the IOE can be reset by holding the 
chip- wide reset pin low. 

Row-to- IOE Connections 

When an IOE is used as an input signal, it can drive two separate row 
channels. The signal is accessible by all LEs within that row. When an IOE 
is used as an output, the signal is driven by a multiplexer that selects a 
signal from the row channels. Up to eight IOEs connect to each side of 
each row channel. See Figure 14. 

Figure 14. FLEX 1 0K Row-to-lOE Connections 

The values for m and n are provided in Table 10. 



Row FastTrack 
Interconnect 



n 



m 



IOE1 



22 



< 



m 



IOE8 



22 






X 



Each IOE is driven by an 
m-to-1 multiplexer. 



Each IOE can drive up to two 
row channels. 



Altera Corporation 



33 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Table 10 lists the FLEX 10K row-to-IOE interconnect resources. 



Table 10. FLEX 1 0K Row-to-IOE Interconnect Resources 


Device 


Channels per Row (n) 


Row Channels per Pin (m) 


EPF10K10 
EPF10K10A 


144 


18 


EPF10K20 


144 


18 


EPF10K30 
EPF10K30A 


216 


27 


EPF10K40 


216 


27 


EPF10K50 
EPF10K50V 


216 


27 


EPF10K70 


312 


39 


EPF10K100 
EPF10K100A 


312 


39 


EPF10K130V 


312 


39 


EPF10K250A 


456 


57 



Column-to-IOE Connections 

When an IOE is used as an input, it can drive up to two separate column 
channels. When an IOE is used as an output, the signal is driven by a 
multiplexer that selects a signal from the column channels. Two IOEs 
connect to each side of the column channels. Each IOE can be driven by 
column channels via a multiplexer. The set of column channels that each 
IOE can access is different for each IOE. See Figure 15. 



34 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Figure 15. FLEX 10K Column-to-lOE Connections 

The values for m and n are provided in Table 1 1. 



Each IOE is driven by 
an m-to-1 multiplexer. 



Column 
Interconnect 



m 



IOE1 



^2 



< 



^m 



IOE1 



S2 



< 



Each IOE can drive up to 
two column channels. 



Table 11 lists the FLEX 10K column-to-IOE interconnect resources. 



Table 11. FLEX 10K Column-to-lOE Interconnect Resources 


Device 


Channels per Column (n) 


Column Channel per Pin (m) 


EPF10K10 
EPF10K10A 


24 


16 


EPF10K20 


24 


16 


EPF10K30 
EPF10K30A 


24 


16 


EPF10K40 


24 


16 


EPF10K50 
EPF10K50V 


24 


16 


EPF10K70 


24 


16 


EPF10K100 
EPF10K100A 


24 


16 


EPF10K130V 


32 


24 


EPF10K250A 


40 


32 



Altera Corporation 



35 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Same Frame 
Pin-Outs 



FLEX 10KE devices support the SameFrame pin-out feature for 
FineLine BGA packages. The SameFrame pin-out feature is the 
arrangement of balls on FineLine BGA packages such that the lower-ball- 
count packages form a subset of the higher-ball-count packages. 
SameFrame pin-outs provide the flexibility to migrate not only from 
device to device within the same package, but also from one package to 
another. A given printed circuit board (PCB) layout can support multiple 
device density/package combinations. For example, a single board layout 
can support a range of devices from an EPF10K10A device in a 256-pin 
FineLine BGA package to an EPF10K100A device in a 484-pin 
FineLine BGA package. 



The Altera software provides support to design PCBs with SameFrame 
pin-out devices. Devices can be defined for present and future use. The 
Altera software generates pin-outs describing how to lay out a board to 
take advantage of this migration (see Figure 16). 



Figure 16. SameFrame Pin-Out Example 



oooi 

OOOC 

ooot 



IOOO 
IOOO 
IOOO 



•••••••••••••••a 

•**IIOOOOOO****I 

• •••IOOOOOOIIIK 

• • • • • o o o o o o • • • • • 

••(••OOOOOOII*** 
••••IOOOOOO«l»«« 

mnoooooomii 



OOOI 

ooo< 

OOOI 



IOOO 
IOOO 
IOOO 



Printed Circuit Board 
Designed for 484-PinFineLine BGA Package 



OOOI 
OOOI 
OOOI 



IOOO 
IOOO 
IOOO 



256-Pin 

FineLine 

BGA 



OOOI 
OOOI 
OOOI 



IOOO 
IOOO 
IOOO 




256-Pin FineLine BGA Package 

(Reduced I/O Count or 

Logic Requirements) 



484-Pin FineLine BGA Package 

(Increased I/O Count or 

Logic Requirements) 



36 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



ClockLock & 

ClockBoost 

Features 



To support high-speed designs, selected FLEX 10K devices offer optional 
ClockLock and ClockBoost circuitry containing a phase-locked loop (PLL) 
that is used to increase design speed and reduce resource usage. The 
ClockLock circuitry uses a synchronizing PLL that reduces the clock delay 
and skew within a device. This reduction minimizes clock- to-output and 
setup times while maintaining zero hold times. The ClockBoost circuitry, 
which provides a clock multiplier, allows the designer to enhance device 
area efficiency by sharing resources within the device. The ClockBoost 
feature allows the designer to distribute a low-speed clock and multiply 
that clock on-device. Combined, the ClockLock and ClockBoost features 
provide significant improvements in system performance and 
bandwidth. 



The ClockLock and ClockBoost features in FLEX 10K devices are enabled 
through the Altera software. External devices are not required to use these 
features. The output of the ClockLock and ClockBoost circuits is not 
available at any of the device pins. 

The ClockLock and ClockBoost circuitry locks onto the rising edge of the 
incoming clock. The circuit output can only drive the clock inputs of 
registers; the generated clock cannot be gated or inverted. 

The dedicated clock pin (GCLK1) supplies the clock to the ClockLock and 
ClockBoost circuitry. When the dedicated clock pin is driving the 
ClockLock or ClockBoost circuitry, it cannot drive elsewhere in the device. 

In designs that require both a multiplied and non-multiplied clock, the 
clock trace on the board can be connected to GCLK1. With the Altera 
software, GCLK1 can feed both the ClockLock and ClockBoost circuitry in 
the FLEX 10K device. However, when both circuits are used, the other 
clock pin (GCLKO) cannot be used. Figure 17 shows a block diagram of 
how to enable both the ClockLock and ClockBoost circuits in the Altera 
software. The example shown is a schematic, but a similar approach 
applies for designs created in AHDL, VHDL, and Verilog HDL. When the 
ClockLock and ClockBoost circuits are used simultaneously, the input 
frequency parameter must be the same for both circuits. In Figure 17, the 
input frequency must meet the requirements specified when the 
ClockBoost multiplication factor is two. 



Altera Corporation 



37 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Figure 17. Enabling ClockLock & ClockBoost in the Same Design 



!CLOCKBOOST=1 i 

i INPUT_FREQUENCY=50i 



gclkl 




;CLOCKBOOST=2 

i INPUT_FREQUENCY=50 



aout 




bout 



Output 
Configuration 



To use both the ClockLock and ClockBoost circuits in the same design, 
designers must use Revision C EPF10K100GC503-3DX devices and 
MAX+PLUS II software versions 7.2 or higher. The die revision is 
indicated by the third digit of the nine-digit code on the top side of the 
device. 

This section discusses the peripheral component interconnect (PCI) 
pull-up clamping diode option, slew-rate control, open-drain output 
option, MultiVolt I/O interface, and power sequencing for FLEX 10K 
devices. The PCI pull-up clamping diode, slew-rate control, and 
open-drain output options are controlled pin-by-pin via Altera logic 
options. The MultiVolt I/O interface is controlled by connecting Vccio *° 
a different voltage than V C qnt- ^s effect can be simulated in the Altera 
software via the Global Project Device Options dialog box (Assign 
menu). 



PCI Clamping Diodes 

The EPF10K10A and EPF10K30A devices have a pull-up clamping diode 
on every I/O, dedicated input, and dedicated clock pin. PCI clamping 
diodes clamp the transient overshoot caused by reflected waves to the 
VcciO value and are required for 3.3-V PCI compliance. Clamping diodes 
can also be used to limit overshoot in other systems. 

Clamping diodes are controlled on a pin-by-pin basis via a logic option in 
the Altera software. When V^cio * s 3-3 V, a pin that has the clamping 
diode turned on can be driven by a 2.5-V or 3.3-V signal, but not a 5.0- V 
signal. When Vccio is 2.5 V, a pin that has the clamping diode turned on 
can be driven by a 2.5-V signal, but not a 3.3-V or 5.0- V signal. However, 
a clamping diode can be turned on for a subset of pins, which allows 
devices to bridge between a 3.3-V PCI bus and a 5.0- V device. 



38 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Slew-Rate Control 

The output buffer in each IOE has an adjustable output slew rate that can 
be configured for low-noise or high-speed performance. A slower slew 
rate reduces system noise and adds a maximum delay of approximately 
2.9 ns. The fast slew rate should be used for speed-critical outputs in 
systems that are adequately protected against noise. Designers can specify 
the slew rate on a pin-by-pin basis during design entry or assign a default 
slew rate to all pins on a device-wide basis. The slow slew rate setting 
affects only the falling edge of the output. 



Open-Drain Output Option 

FLEX 10K devices provide an optional open-drain (electrically equivalent 
to an open-collector) output for each I/O pin. This open-drain output 
enables the device to provide system-level control signals (e.g., interrupt 
and write enable signals) that can be asserted by any of several devices. It 
can also provide an additional wired-OR plane. Additionally, the Altera 
software can convert tri-state buffers with grounded data inputs to open- 
drain pins automatically. 

Open-drain output pins on FLEX 10K devices (with a pull-up resistor to 
the 5.0-V supply) can drive 5.0-V CMOS input pins that require a Vj^ of 
3.5 V. When the open-drain pin is active, it will drive low. When the pin is 
inactive, the trace will be pulled up to 5.0 V by the resistor. The open-drain 
pin will only drive low or tri-state; it will never drive high. The rise time 
is dependent on the value of the pull-up resistor and load impedance. The 
Iql current specification should be considered when selecting a pull-up 
resistor. 

Output pins on 5.0-V FLEX 10K devices with V CCIO = 3.3 V or 5.0 V (with 
a pull-up resistor to the 5.0-V supply) can also drive 5.0-V CMOS input 
pins. In this case, the pull-up transistor will turn off when the pin voltage 
exceeds 3.3 V. Therefore, the pin does not have to be open-drain. 

MultiVolt I/O Interface 

The FLEX 10K device architecture supports the MultiVolt I/O interface 
feature, which allows FLEX 10K devices to interface with systems of 
differing supply voltages. These devices have one set of V^c pins for 
internal operation and input buffers (VCCINT) and another set for I/O 
output drivers (VCCIO). 



Altera Corporation 39 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Table 12 describes the FLEX 10K device supply voltages and MultiVolt 
I/O support levels. 


Table 12. Supply Voltages & MultiVolt I/O Support Levels 


Devices 


Supply Voltage (V) 


MultiVolt I/O Support Levels (V) 


Vccint 


Vccio 


Input 


Output 


FLEX 1 0K (1) 


5.0 


5.0 


3.3 or 5.0 


5.0 


5.0 


3.3 


3.3 or 5.0 


3.3 or 5.0 


EPF10K50V (1) 


3.3 


3.3 


3.3 or 5.0 


3.3 or 5.0 


EPF10K130V 


3.3 


3.3 


3.3 or 5.0 


3.3 or 5.0 


FLEX 1 0KA (1) 


3.3 


3.3 


2.5, 3.3, or 5.0 


3.3 or 5.0 


3.3 


2.5 


2.5, 3.3, or 5.0 


2.5 



Note 

(1) 240-pin QFP packages do not support the MultiVolt I/O features, so they do not have separate V^qq pins. 

Power Sequencing & Hot-Socketing 

Because FLEX 10K devices can be used in a multi-voltage environment, 
they have been designed specifically to tolerate any possible power-up 
sequence. The V C cio anc ^ VcciNT power supplies can be powered in any 
order. 



IEEE Std. 
1149.1 (JTAG) 
Boundary-Scan 
Support 



Signals can be driven into FLEX 10KA devices before and during power 
up without damaging the device. Additionally, FLEX 10KA devices do 
not drive out during power up. Once operating conditions are reached, 
FLEX 10KA devices operate as specified by the user. 

All FLEX 10K devices provide JTAG BST circuitry that complies with the 
IEEE Std. 1149.1-1990 specification. All FLEX 10K devices can also be 
configured using the JTAG pins through the BitBlaster serial download 
cable, or ByteBlasterMV parallel port download cable, or via hardware 
that uses the Jam™ programming and test language. JTAG BST can be 
performed before or after configuration, but not during configuration. 
FLEX 10K devices support the JTAG instructions shown in Table 13. 



40 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 13. FLEX 10KJTAG Instructions 


JTAG Instruction 


Description 


SAMPLE/PRELOAD 


Allows a snapshot of signals at the device pins to be captured and examined during 
normal device operation, and permits an initial data pattern output at the device pins. 


EXTEST 


Allows the external circuitry and board-level interconnections to be tested by forcing a 
test pattern at the output pins and capturing test results at the input pins. 


BYPASS 


Places the 1-bit bypass register between the tdi and tdo pins, which allows the BST 
data to pass synchronously through a selected device to adjacent devices during normal 
device operation. 


USERCODE 


Selects the user electronic signature (USERCODE) register and places it between the 
tdi and tdo pins, allowing the USERCODE to be serially shifted out of tdo. 


IDCODE 


Selects the IDCODE register and places it between tdi and tdo, allowing the IDCODE 
to be serially shifted out of tdo. 


ICR Instructions 


These instructions are used when configuring a FLEX 1 0K device via JTAG ports with a 
BitBlaster, or Byte B I aster MV or MasterBlaster download cable, or using a Jam File 
(.jam) or Jam Byte-Code File (.jbc) via an embedded processor. 



The instruction register length of FLEX 10K devices is 10 bits. The 
USERCODE register length in FLEX 10K devices is 32 bits; 7 bits are 
determined by the user, and 25 bits are predetermined. Tables 14 and 15 
show the boundary-scan register length and device IDCODE information 
for FLEX 10K devices. 



Table 14. FLEX 1 0K Boundary-Scan Register Length 


Device 


Boundary-Scan 
Register Length 


EPF10K10, EPF10K10A 


480 


EPF10K20 


624 


EPF10K30, EPF10K30A 


768 


EPF10K40 


864 


EPF10K50, EPF10K50V 


960 


EPF10K70 


1,104 


EPF10K100, EPF10K100A 


1,248 


EPF10K130V 


1,440 


EPF10K250A 


1,440 



Altera Corporation 



41 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Table 15. 32-Bit FLEX 10K Device IDCODE Note (1) 


Device 


IDCODE (32 Bits) 


Version 
(4 Bits) 


Part Number 
(16 Bits) 


Manufacturer's Identity 
(11 Bits) 


1 (1 Bit) 

(2) 


EPF10K10, EPF10K10A 


0000 


0001 0000 0001 0000 


00001101110 


1 


EPF10K20 


0000 


0001 0000 0010 0000 


00001101110 


1 


EPF10K30, EPF10K30A 


0000 


0001 0000 0011 0000 


00001101110 


1 


EPF10K40 


0000 


0001 0000 0100 0000 


00001101110 


1 


EPF10K50, EPF10K50V 


0000 


0001 0000 0101 0000 


00001101110 


1 


EPF10K70 


0000 


0001 0000 0111 0000 


00001101110 


1 


EPF10K100, EPF10K100A 


0000 


0000 0001 0000 0000 


00001101110 


1 


EPF10K130V 


0000 


0000 0001 0011 0000 


00001101110 


1 


EPF10K250A 


0000 


0000 0010 0101 0000 


00001101110 


1 



Notes: 

(1) The most significant bit (MSB) is on the left. 

(2) The least significant bit (LSB) for all JTAG IDCODEs is 1 . 



FLEX 10K devices include weak pull-ups on JTAG pins. 
For more information, see the following documents: 

■ Application Note 39 (IEEE 1149.1 (JTAG) Boundary-Scan Testing in 
Altera Devices) 

■ BitBlaster Serial Download Cable Data Sheet 

■ ByteBlasterMV Parallel Port Download Cable Data Sheet 

■ Jam Programming & Test Language Specification 



42 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Figure 18 shows the timing requirements for the JTAG signals. 



Figure 18. JTAG Waveforms 

TMS X 



TDI 



X 



IJCH 



ijcp — 

-*— t 



JCL- 



JPSU 



IJPH 



TCK 



TDO 



Signal 

to Be 

Captured 

Signal 

to Be 

Driven 



JPZX 



JPCO 



!t 



JPXZ 



jssu • tjSH . 



l JSZX 



jscor" 



1 jsxz: 



Table 16 shows the timing parameters and values for FLEX 10K devices. 


Table 16. JTAG Timing Parameters & Values 


Symbol 


Parameter 


Min 


Max 


Unit 


*JCP 


tck clock period 


100 




ns 


*JCH 


tck clock high time 


50 




ns 


*JCL 


tck clock low time 


50 




ns 


tjpsu 


JTAG port setup time 


20 




ns 


tjPH 


JTAG port hold time 


45 




ns 


tjpco 


JTAG port clock to output 




25 


ns 


tjpzx 


JTAG port high impedance to valid output 




25 


ns 


*JPXZ 


JTAG port valid output to high impedance 




25 


ns 


*JSSU 


Capture register setup time 


20 




ns 


*JSH 


Capture register hold time 


45 




ns 


tjsco 


Update register clock to output 




35 


ns 


tjszx 


Update register high-impedance to valid output 




35 


ns 


tjsxz 


Update register valid output to high impedance 




35 


ns 



Altera Corporation 



43 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Generic Testing 



Each FLEX 10K device is functionally tested. Complete testing of each 
configurable SRAM bit and all logic functionality ensures 100% yield. 
AC test measurements for FLEX 10K devices are made under conditions 
equivalent to those shown in Figure 19. Multiple test patterns can be used 
to configure devices during all stages of the production flow. 



Figure 19. FLEX 10KAC Test Conditions 

Power supply transients can affect AC 
measurements. Simultaneous transitions of 
multiple outputs should be avoided for 
accurate measurement. Threshold tests must 
not be performed under AC conditions. 
Large-amplitude, fast-ground-current 
transients normally occur as the device 
outputs discharge the load capacitances. 
When these transients flow through the 
parasitic inductance between the device 
ground pin and the test system ground, 
significant reductions in observable noise 
immunity can result. Numbers without 
parentheses are for 5.0-V devices or outputs. 
Numbers in parentheses are for 3.3-V devices 
or outputs. Numbers in brackets are for 
2.5-V devices or outputs. 



464 si 

(703 si) 
[521 SI] 



Device 
Output 



250 P. 

(8.06 kSl) 

[481 SI] 

Device input 
rise and fall 
times < 3 ns 




VCC 



To Test 
System 



C1 (includes 
JIG capacitance) 



1 



Operating 
Conditions 



Tables 17 through 21 provide information on absolute maximum ratings, 
recommended operating conditions, DC operating conditions, and 
capacitance for 5.0-V FLEX 10K devices. 



Table 17. FLEX 10K 5.0-V Device Absolute Maximum Ratings Note (1) 


Symbol 


Parameter 


Conditions 


Min 


Max 


Unit 


V C c 


Supply voltage 


With respect to ground (2) 


-2.0 


7.0 


V 


V| 


DC input voltage 


-2.0 


7.0 


V 


'out 


DC output current, per pin 




-25 


25 


mA 


T STG 


Storage temperature 


No bias 


-65 


150 


°C 


T AMB 


Ambient temperature 


Under bias 


-65 


135 


°C 


Tj 


Junction temperature 


Ceramic packages, under bias 




150 


°C 


PQFP, TQFP, RQFP, and BGA 
packages, under bias 




135 


°C 



44 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 18. FLEX 10K 5. 0-V Device Recommended Operating Conditions 


Symbol 


Parameter 


Conditions 


Min 


Max 


Unit 


V CCINT 


Supply voltage for internal logic 
and input buffers 


(3), (4) 


4.75 (4.50) 


5.25 (5.50) 


V 


V CCIO 


Supply voltage for output 
buffers, 5.0-V operation 


(3), (4) 


4.75 (4.50) 


5.25 (5.50) 


V 


Supply voltage for output 
buffers, 3.3-V operation 


(3), (4) 


3.00 (3.00) 


3.60 (3.60) 


V 


V] 


Input voltage 




-0.5 


V CCINT + °- 5 


V 


V 


Output voltage 







V CCIO 


V 


T A 


Ambient temperature 


For commercial use 





70 


°c 


For industrial use 


-40 


85 


°c 


Tj 


Operating temperature 


For commercial use 





85 


°c 


For industrial use 


-40 


100 


°c 


tR 


Input rise time 






40 


ns 


tp 


Input fall time 






40 


ns 



Altera Corporation 



45 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Table 19. FLEX 10K 5. 0- V Device DC Operating Conditions Notes (5), (6) 


Symbol 


Parameter 


Conditions 


Min 


Typ 


Max 


Unit 


V| H 


High-level input 
voltage 




2.0 




V CCINT + 0-5 


V 


V|L 


Low-level input voltage 




-0.5 




0.8 


V 


VOH 


5.0-V high-level TTL 
output voltage 


l 0H = -4 mA DC, V CCI0 = 4.75 V 
(7) 


2.4 






V 


3.3-V high-level TTL 
output voltage 


Iqh = "4 mA DC, V CC | = 3.00 V 
(7) 


2.4 






V 


3.3-V high-level CMOS 
output voltage 


Iqh = -0-1 mA DC, V CC | = 3.00 V 
(7) 


VcciO-0.2 






V 


Vol 


5.0-V low-level TTL 
output voltage 


l 0L = 12 mA DC, V CC | = 4.75 V 
(8) 






0.45 


V 


3.3-V low-level TTL 
output voltage 


l 0L = 12 mA DC, V CC |o = 3.00 V 
(8) 






0.45 


V 


3.3-V low-level CMOS 
output voltage 


Iql = 0.1 mA DC, V CC io = 3.00 V 
(8) 






0.2 


V 


li 


Input pin leakage 
current 


V| = V cc or ground 
(9) 


-10 




10 


ma 


"oz 


Tri-stated I/O pin 
leakage current 


V = V cc or ground 
(9) 


-40 




40 


|iA 


•ceo 


V cc supply current 
(standby) 


V| = ground, no load 




0.5 


10 


mA 



Table 20. 5. 0- V Device Capacitance of EPF10K10, EPF10K20 & EPF10K30 Devices Note (10) 


Symbol 


Parameter 


Conditions 


Min 


Max 


Unit 


C|N 


Input capacitance 


V, N = 0V,f = 1.0 MHz 




8 


PF 


C|NCLK 


Input capacitance on dedicated 
clock pin 


V| N = 0V,f = 1.0 MHz 




12 


PF 


C OUT 


Output capacitance 


V OUT = 0V, f= 1.0 MHz 




8 


PF 



Table 21. 5.0-V Device Capacitance ofEPF10K40, EPF10K50, EPF10K70 & EPF10K100 Devices Note (10) 


Symbol 


Parameter 


Conditions 


Min 


Max 


Unit 


C|N 


Input capacitance 


V| N = 0V,f = 1.0 MHz 




10 


PF 


C|NCLK 


Input capacitance on dedicated 
clock pin 


V, N = 0V, f =1.0 MHz 




15 


PF 


C OUT 


Output capacitance 


V OU T = 0V,f=1.0MHz 




10 


PF 



46 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Notes to tables: 

(1) See the Operating Requirements for Altera Devices Data Sheet. 

(2) Minimum DC input voltage is -0.5 V. During transitions, the inputs may undershoot to -2.0 V for input currents 
less than 100 mA and periods shorter than 20 ns. 

(3) Numbers in parentheses are for industrial-temperature-range devices. 

(4) Maximum Vqq rise time is 100 ms. Vqq must rise monotonically. 

(5) Typical values are for T A = 25° C and V cc = 5.0 V. 

(6) These values are specified under the Recommended Operation Condition shown in Table 18 on page 45. 

(7) The Iqh parameter refers to high-level TTL or CMOS output current. 

(8) The Iql parameter refers to low-level TTL or CMOS output current. This parameter applies to open-drain pins as 
well as output pins. 

(9) This value is specified for normal device operation. The value may vary during power-up. 

(10) Capacitance is sample-tested only. 



Figure 20 shows the typical output drive characteristics of FLEX 10K 
devices with 5.0-V and 3.3- V V CCI q. The output driver is compliant with 
the 5.0-V PCI Local Bus Specification, Revision 2.2 (for 5.0-V V C qo)- 



Figure 20. Output Drive Characteristics of FLEX 10K Devices 
5.0-V 3.3-V 



150 h 



120 - 



Typical l 90 
Output 
Current (mA) 



Vccint = 5.0 V 
Vccio = 5.0 V 
Room Temperature 




150 h- 



120 - 



Typical l 90 
Output 
Current (mA) 



60 
45 
30 




Vccint = 5.0 V 
Vccio = 3-3 V 
Room Temperature 



12 3 4 5 

V Output Voltage (V) 



1 2 3 33 4 5 

V Output Voltage (V) 



Altera Corporation 



47 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 

Tables 22 through 25 provide information on absolute maximum ratings, 
recommended operating conditions, DC operating conditions, and 
capacitance for EPF10K50V and EPF10K130V devices. 



Table 22. EPF10K50V & EPF10K130V Device Absolute Maximum Ratings Note (1) 


Symbol 


Parameter 


Conditions 


Min 


Max 


Unit 


V C c 


Supply voltage 


With respect to ground (2) 


-0.5 


4.6 


V 


V| 


DC input voltage 


-2.0 


5.75 


V 


'out 


DC output current, per pin 




-25 


25 


mA 


T STG 


Storage temperature 


No bias 


-65 


150 


°C 


T AMB 


Ambient temperature 


Under bias 


-65 


135 


°C 


Tj 


Junction temperature 


Ceramic packages, under bias 




150 


°C 


RQFP and BGA packages, under 
bias 




135 


°C 




Table 23. EPF10K50V & EPF10K130V Device Recommended Operating Conditions 


Symbol 


Parameter 


Conditions 


Min 


Max 


Unit 


V CCINT 


Supply voltage for internal logic 
and input buffers 


(3), (4) 


3.00 (3.00) 


3.60 (3.60) 


V 


V CCIO 


Supply voltage for output 
buffers 


(3), (4) 


3.00 (3.00) 


3.60 (3.60) 


V 


v, 


Input voltage 


(5) 


-0.5 


5.75 


V 


V 


Output voltage 







V CCIO 


V 


T A 


Ambient temperature 


For commercial use 





70 


°c 


For industrial use 


-40 


85 


°c 


Tj 


Operating temperature 


For commercial use 





85 


°c 


For industrial use 


-40 


100 


°c 


tR 


Input rise time 






40 


ns 


tp 


Input fall time 






40 


ns 



48 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 24. EPF10K50V & EPF10K130V Device DC Operating Conditions Notes (6), (7) 


Symbol 


Parameter 


Conditions 


Min 


Typ 


Max 


Unit 


V| H 


High-level input voltage 




2.0 




5.75 


V 


V,L 


Low-level input voltage 




-0.5 




0.8 


V 


VOH 


3.3-V high-level TTL output 
voltage 


l 0H =-8mADC (8) 


2.4 






V 


3.3-V high-level CMOS output 
voltage 


l H =-0.1 mADC (8) 


VcciO-0.2 






V 


Vol 


3.3-V low-level TTL output 
voltage 


l 0L = 8mADC (9) 






0.45 


V 


3.3-V low-level CMOS output 
voltage 


l OL = 0.1 mADC (9) 






0.2 


V 


l| 


Input pin leakage current 


V, = 5.3 Vto-O.3V(70J 


-10 




10 


uA 


"oz 


Tri-stated I/O pin leakage 
current 


V = 5.3 V to -0.3 V (10) 


-10 




10 


|iA 


'ceo 


V cc supply current (standby) 


V| = ground, no load 




0.3 


10 


mA 


V| = ground, no load (11) 




10 




mA 




Table 25. EPF10K50V & EPF10K130V Device Capacitance (12) 


Symbol 


Parameter 


Conditions 


Min 


Max 


Unit 


C|N 


Input capacitance 


V, N = 0V, f = 1.0 MHz 




10 


PF 


C|NCLK 


Input capacitance on dedicated 
clock pin 


V, N = 0V, f = 1.0 MHz 




15 


PF 


C OUT 


Output capacitance 


V OUT = 0V, f= 1.0 MHz 




10 


PF 



Notes to tables: 

(1) See the Operating Requirements for Altera Devices Data Sheet. 

(2) Minimum DC input voltage is -0.5 V. During transitions, the inputs may undershoot to -2.0 V or overshoot to 5.75 V 
for input currents less than 100 mA and periods shorter than 20 ns. 

(3) Numbers in parentheses are for industrial-temperature-range devices. 

(4) Maximum V^ rise time is 100 ms. Vqq must rise monotonically. 

(5) EPF10K50V and EPF10K130V device inputs may be driven before Vqqjsjt and Vq-jo are powered. 

(6) Typical values are for T A = 25° C and Vqq = 3.3 V. 

(7) These values are specified under the EPF10K50V and EPF10K130V device Recommended Operating Conditions in 
Table 23 on page 48. 

(8) The Iqh parameter refers to high-level TTL or CMOS output current. 

(9) The Iql parameter refers to low-level TTL or CMOS output current. This parameter applies to open-drain pins as 
well as output pins. 

(10) This value is specified for normal device operation. The value may vary during power-up. 

(11) This parameter applies to -1 speed grade EPF10K50V devices, -2 speed grade EPF10K50V industrial temperature 
devices, and -2 speed grade EPF10K130V devices. 

(12) Capacitance is sample-tested only. 



Altera Corporation 



49 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Figure 21 shows the typical output drive characteristics of EPF10K50V 
and EPF10K130V devices. 



Figure 21. Output Drive Characteristics of EPF10K50V & EPF10K130V Devices 



Typical \q 
Output 
Current (mA) 




1 2 

V Output Voltage (V) 



Tables 26 through 31 provide information on absolute maximum ratings, 
recommended operating conditions, DC operating conditions, and 
capacitance for 3.3-V FLEX 10K devices. 



Table 26. FLEX 10 KA 3. 3- V Device Absolute Maximum Ratings Note (1) 


Symbol 


Parameter 


Conditions 


Min 


Max 


Unit 


Vcc 


Supply voltage 


With respect to ground (2) 


-0.5 


4.6 


V 


Vi 


DC input voltage 


-2.0 


5.75 


V 


■out 


DC output current, per pin 




-25 


25 


mA 


T STG 


Storage temperature 


No bias 


-65 


150 


°C 


T AMB 


Ambient temperature 


Under bias 


-65 


135 


°C 


Tj 


Junction temperature 


Ceramic packages, under bias 




150 


°C 


PQFP, TQFP, RQFP, and BGA 
packages, under bias 




135 


°C 



50 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 27. FLEX 10KA 3. 3-V Device Recommended Operating Conditions 


Symbol 


Parameter 


Conditions 


Min 


Max 


Unit 


V CCINT 


Supply voltage for internal logic 
and input buffers 


(3), (4) 


3.00 (3.00) 


3.60 (3.60) 


V 


V CCIO 


Supply voltage for output 
buffers, 3.3-V operation 


(3), (4) 


3.00 (3.00) 


3.60 (3.60) 


V 


Supply voltage for output 
buffers, 2.5-V operation 


(3), (4) 


2.30 (2.30) 


2.70 (2.70) 


V 


V] 


Input voltage 


(5) 


-0.5 


5.75 


V 


V 


Output voltage 







V CCIO 


V 


T A 


Ambient temperature 


For commercial use 





70 


°c 


For industrial use 


-40 


85 


°c 


Tj 


Operating temperature 


For commercial use 





85 


°c 


For industrial use 


-40 


100 


°c 


tR 


Input rise time 






40 


ns 


tp 


Input fall time 






40 


ns 



Altera Corporation 



51 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Table 28. FLEX 10KA 3. 3- V Device DC Operating Conditions Notes (6), (7) 


Symbol 


Parameter 


Conditions 


Min 


Typ 


Max 


Unit 


V| H 


High-level input voltage 




1.7 or 

0-5xV CC | NT , 

whichever is 

lower 




5.75 


V 


V|L 


Low-level input voltage 




-0.5 




0-3 x V CC | NT 


V 


VOH 


3.3-V high-level TTL output 
voltage 


I h =—11 mADC, 
VcciO = 3-00 V (8) 


2.4 






V 


3.3-V high-level CMOS output 
voltage 


'oh = —0.1 mADC, 
VcciO = 3-00 V (8) 


VcciO-0.2 






V 


3.3-V high-level PCI output 
voltage 


Iqh =-0-5 mADC, 
VcciO = 3.00 to 3.60 V (8) 


0-9xV CC | O 






V 


2.5-V high-level output voltage 


Iqh =-0.1 mADC, 
V CCI0 = 2.30 V (8) 


2.1 






V 


I h =-1 mADC, 
V CCI0 = 2.30 V (8) 


2.0 






V 


I h =-2 mADC, 
V CCI0 = 2.30 V (8) 


1.7 






V 


Vol 


3.3-V low-level TTL output 
voltage 


l L = 9nnADC, 
VcciO = 3-00 V (9) 






0.45 


V 


3.3-V low-level CMOS output 
voltage 


l O i_ = 0.1 mADC, 
VcciO = 3-00 V (9) 






0.2 


V 


3.3-V low-level PCI output 
voltage 


I l = 1.5 mADC, 

v CCIO = 3-00 to 3.60 V (9) 






0-1 xV CCIO 


V 


2.5-V low-level output voltage 


l 0L = 0.1 mADC, 
V CCI0 = 2.30 V (9) 






0.2 


V 


l 0L = 1 mADC, 
V CC |o = 2.30 V (9) 






0.4 


V 


l 0L = 2mADC, 
V CCI0 = 2.30 V (9) 






0.7 


V 


"i 


Input pin leakage current 


V, = 5.3 V to -0.3 V (10) 


-10 




10 


uA 


"oz 


Tri-stated I/O pin leakage 
current 


V = 5.3 V to -0.3 V (10) 


-10 




10 


uA 


•ceo 


V cc supply current (standby) 


V| = ground, no load 




0.3 


10 


mA 


V| = ground, no load (11) 




10 




mA 



52 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Table 29. 3.3-V Device Capacitance of EPF10K10A & EPF10K30A Devices Note (12) 


Symbol 


Parameter 


Conditions 


Min 


Max 


Unit 


C|N 


Input capacitance 


V, N = 0V, f = 1.0 MHz 




8 


PF 


C|NCLK 


Input capacitance on dedicated 
clock pin 


V, N = 0V,f = 1.0 MHz 




12 


PF 


C OUT 


Output capacitance 


V OUT = 0V, f= 1.0 MHz 




8 


PF 



Table 30. 3.3-V Device Capacitance ofEPFWKIOOA Devices Note (12) 


Symbol 


Parameter 


Conditions 


Min 


Max 


Unit 


C|N 


Input capacitance 


V| N = 0V, f= 1.0 MHz 




10 


PF 


C|NCLK 


Input capacitance on dedicated 
clock pin 


V| N = 0V, f= 1.0 MHz 




15 


PF 


C OUT 


Output capacitance 


V OUT = 0V,f=1.0MHz 




10 


PF 



Table 31. 3.3-V Device Capacitance ofEPF10K250A Devices Note (12) 


Symbol 


Parameter 


Conditions 


Min 


Max 


Unit 


C|N 


Input capacitance 


V, N = V, f= 1.0 MHz 




10 


PF 


C|NCLK 


Input capacitance on dedicated 
clock pin 


V, N = 0V, f= 1.0 MHz 




15 


PF 


C OUT 


Output capacitance 


V OUT = 0V, f= 1.0 MHz 




10 


PF 



Notes to tables: 

(1) See the Operating Requirements for Altera Devices Data Sheet. 

(2) Minimum DC voltage input is -0.5 V. During transitions, the inputs may undershoot to -2.0 V or overshoot to 5.75 V 
for input currents less than 100 mA and periods shorter than 20 ns. 

(3) Numbers in parentheses are for industrial-temperature-range devices. 

(4) Maximum Vqq rise time is 100 ms, and V^ must rise monotonically. 

(5) FLEX 10KA device inputs may be driven before V^cint an( ^ ^CCIO are powered. 

(6) Typical values are for T^ = 25° C and Vqq - 3-3 V. 

(7) These values are specified under the Recommended Operating Conditions shown in Table 27 on page 51. 

(8) The Iqh parameter refers to high-level TTL, PCI, or CMOS output current. 

(9) The Iql parameter refers to low-level TTL, PCI, or CMOS output current. This parameter applies to open-drain pins 
as well as output pins. 

(10) This value is specified for normal device operation. The value may vary during power-up. 

(11) This parameter applies to all -1 speed grade commercial temperature devices and all -2 speed grade 
industrial-temperature devices. 

(12) Capacitance is sample-tested only. 



Altera Corporation 



53 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 

Figure 22 shows the typical output drive characteristics of EPF10K10A, 
EPF10K30A, EPF10K100A, and EPF10K250A devices with 3.3-V and 2.5-V 
VcciO The output driver is compliant with the 3.3-V PCI Local Bus 
Specification, Revision 2.2 (with 3.3-V V CC io)- Moreover, device analysis 
shows that the EPF10K10A, EPF10K30A, and EPF 10K100A devices can 
drive a 5.0-V PCI bus with eight or fewer loads. 

Figure 22. Output Drive Characteristics for EPF10K10A, EPF10K30A & EPF10K100A Devices 



Typical \q 
Output 
Current (mA) 




OL 



Vccint = 3-3 V 
^ccio = 3-3 V 
Room Temperature 



Typical \q 
Output 
Current (mA) 



OH 




'OL 



Vccint = 3-3 V 
V C cio=2.5V 
Room Temperature 



V Output Voltage (V) 



12 3 4 

V Output Voltage (V) 



Figure 23 shows the typical output drive characteristics of the 
EPF10K250A device with 3.3-V and 2.5-V V ccio . 



54 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Figure 23. Output Drive Characteristics for EPF10K250A Device 



Typical \q 
Output 30 h 

Current (mA) 



v ccint = 3.3 v 
Vccio = 3.3 V 
Room Temperature 




Typical \q 
Output 
Current (mA) 




'OL 



v ccint = 3.3 V 
V CCI0 = 2.5V 
Room Temperature 



12 3 4 

V Output Voltage (V) 



12 3 4 

V Output Voltage (V) 



Timing Model 



The continuous, high-performance FastTrack Interconnect routing 
resources ensure predictable performance and accurate simulation and 
timing analysis. This predictable performance contrasts with that of 
FPGAs, which use a segmented connection scheme and therefore have 
unpredictable performance. 

Device performance can be estimated by following the signal path from a 
source, through the interconnect, to the destination. For example, the 
registered performance between two LEs on the same row can be 
calculated by adding the following parameters: 

■ LE register clock-to-output delay (tQo) 

■ Interconnect delay (t S AMEROw) 

■ LE look-up table delay (tmj) 

■ LE register setup time (t^u) 

The routing delay depends on the placement of the source and destination 
LEs. A more complex registered path may involve multiple combinatorial 
LEs between the source and destination LEs. 



Altera Corporation 



55 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Timing simulation and delay prediction are available with the 
MAX+PLUS II Simulator and Timing Analyzer, or with industry- 
standard EDA tools. The Simulator offers both pre-synthesis functional 
simulation to evaluate logic design accuracy and post-synthesis timing 
simulation with 0.1-ns resolution. The Timing Analyzer provides point- 
to-point timing delay information, setup and hold time analysis, and 
device-wide performance analysis. 

Figure 24 shows the overall timing model, which maps the possible paths 
to and from the various elements of the FLEX 10K device. 



Figure 24. FLEX 1 0K Device Timing Model 



Dedicated 
Clock/Input 







^ 


















I/O Element 


^ 




Interconnect 


^ 




L 




^^ 


^ 








\ 


' 






v 










Logic 
Element 




Embedded Array 
Block 





























56 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Figures 25 through 27 show the delays that correspond to various paths 
and functions within the LE, IOE, and EAB timing models. 



Figure 25. FLEX 10K Device LE Timing Model 

Carry-In Cascade-In 



Data-in 



Control-In 



LUT Delay 



f LUT 

tRLUT 

tcLUT 



Packed Register 
Delay 



PACKED 



Register Control 
Delay 



EN 



Carry Chain 
Delay 




Carry-Out 



^ Data-Out 



hGENR 






1 


' 


kGEN 




kASC 








hico / 












v 




▼ 




f LABCARRY 




kABCASC 



I 



Cascade-Out 



Altera Corporation 



57 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Figure 26. FLEX 10K Device IOE Timing Model 



Data-in 



Clock Enable 

Clear 

Clock 

Output Enable 



Data Feedback 
into FastTrack 
Interconnect 



Output Data 
Delay 



hoo 



I/O Element 
Control Delay 



I/O Register 
Feedback Delay 



hoFD 



Input Delay 



I/O Register 
Delays 



Output 
Delays 



tlNCOMB 




Figure 27. FLEX 10K Device EAB Timing Model 



Data-in 
Address 



WE 



Input Register 
Clock 

Output Register 
Clock 



EAB Data Input 
Delays 



Ieabdatm 

t EABDATA2 



Write Enable 
Input Delays 



f EABWE1 
*EABWE2 



EAB Clock 
Delay 



EABCLK 



Input Register 
Delays 



{ EABCO 

Ieabbypass 

Ieabsu 

Ieabh 

{ EABCH 
f EABCL 



RAM/ROM 
Block Delays 



Output Register 
Delays 



EAB Output 
Delay 




Data-Out 



Figures 28 shows the timing model for bidirectional I/O pin timing. 



58 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Figure 28. Synchronous Bidirectional Pin External Timing Model 





OE Register 










PRN 
D Q 

> 
CLRN 










Dedicated , ^ 




_r 


txZBIDIR 
/ X ZXB\D\R 

huTCOBIDIR 




Clock ' — > *' 






Output Register 








PRN 
D Q 

> 

CLRN 




Bidirectional 






Xr ' 




* Pin 






tlNSUBIDIR 






hNHBIDIR 


















Input Register 












PRN 
D Q 

> 
CLRN 

































Tables 32 through 36 describe the FLEX 10K device internal timing 
parameters. These internal timing parameters are expressed as worst-case 
values. Using hand calculations, these parameters can be used to estimate 
design performance. However, before committing designs to silicon, 
actual worst-case performance should be modeled using timing 
simulation and analysis. Tables 37 through 38 describe FLEX 10K external 
timing parameters. 



Table 32. LE Timing Microparameters (Part 1 of 2) Note (1) 


Symbol 


Parameter 


Conditions 


kilT 


LUT delay for data-in 




tCLUT 


LUT delay for carry-in 




Irlut 


LUT delay for LE register feedback 




* PACK ED 


Data-in to packed register delay 




*EN 


LE register enable delay 




tcico 


Carry-in to carry-out delay 




tcGEN 


Data-in to carry-out delay 




tCGENR 


LE register feedback to carry-out delay 




f CASC 


Cascade-in to cascade-out delay 




tc 


LE register control signal delay 




f co 


LE register clock-to-output delay 




tcOMB 


Combinatorial delay 





Altera Corporation 



59 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Table 32. LE Timing Microparameters (Part 2 of 2) Note (1) 


Symbol 


Parameter 


Conditions 


tsu 


LE register setup time for data and enable signals before clock; LE register 
recovery time after asynchronous clear, preset, or load 




t H 


LE register hold time for data and enable signals after clock 




tpRE 


LE register preset delay 




hLR 


LE register clear delay 




hl-l 


Minimum clock high time from clock pin 




t C L 


Minimum clock low time from clock pin 





Table 33. IOE Timing Microparameters Note (1) 


Symbol 


Parameter 


Conditions 


t/OD 


IOE data delay 




tioc 


IOE register control signal delay 




f IOCO 


IOE register clock-to-output delay 




t/OCOMB 


IOE combinatorial delay 




t/osu 


IOE register setup time for data and enable signals before clock; IOE register 
recovery time after asynchronous clear 




t/OH 


IOE register hold time for data and enable signals after clock 




tlOCLR 


IOE register clear time 




t0D1 


Output buffer and pad delay, slow slew rate = off, V CC | = V CC | NT 


C1 = 35 pF (2) 


f OD2 


Output buffer and pad delay, slow slew rate = off, V CC | = low voltage 


C1 = 35 pF (3) 


f OD3 


Output buffer and pad delay, slow slew rate = on 


C1 = 35 pF (4) 


txz 


IOE output buffer disable delay 




f ZX1 


IOE output buffer enable delay, slow slew rate = off, V CC io = v ccint 


C1 = 35 pF (2) 


f ZX2 


IOE output buffer enable delay, slow slew rate = off, V CC | = low voltage 


C1 = 35 pF (3) 


f ZX3 


IOE output buffer enable delay, slow slew rate = on 


C1 = 35 pF (4) 


t/NREG 


IOE input pad and buffer to IOE register delay 




hOFD 


IOE register feedback delay 




tlNCOMB 


IOE input pad and buffer to FastTrack Interconnect delay 





60 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 34. EAB Timing Microparameters Note (1) 


Symbol 


Parameter 


Conditions 


Ieabdatai 


Data or address delay to EAB for combinatorial input 




t EABDATA2 


Data or address delay to EAB for registered input 




Ieabwei 


Write enable delay to EAB for combinatorial input 




t EABWE2 


Write enable delay to EAB for registered input 




tEABCLK 


EAB register clock delay 




Ieabco 


EAB register clock-to-output delay 




Ieabbypass 


Bypass register delay 




Ieabsu 


EAB register setup time before clock 




f EABH 


EAB register hold time after clock 




*AA 


Address access delay 




twp 


Write pulse width 




t\A/DSU 


Data setup time before falling edge of write pulse 


(5) 


t\/VDH 


Data hold time after falling edge of write pulse 


(5) 


twASU 


Address setup time before rising edge of write pulse 


(5) 


t\/VAH 


Address hold time after falling edge of write pulse 


(5) 


two 


Write enable to data output valid delay 




tDD 


Data-in to data-out valid delay 




tEABOUT 


Data-out delay 




tEABCH 


Clock high time 




tEABCL 


Clock low time 





Altera Corporation 



61 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 35. EAB Timing Macroparameters Notes (1), (6) 


Symbol 


Parameter 


Conditions 


*EABAA 


EAB address access delay 




^EABRCCOMB 


EAB asynchronous read cycle time 




Ieabrcreg 


EAB synchronous read cycle time 




*EABWP 


EAB write pulse width 




f EABWCCOMB 


EAB asynchronous write cycle time 




f EABWCREG 


EAB synchronous write cycle time 




tEABDD 


EAB data-in to data-out valid delay 




Ieabdataco 


EAB clock-to-output delay when using output registers 




Ieabdatasu 


EAB data/address setup time before clock when using input register 




f EABDATAH 


EAB data/address hold time after clock when using input register 




^EABWESU 


EAB we setup time before clock when using input register 




Ieabweh 


EAB we hold time after clock when using input register 




Ieabwdsu 


EAB data setup time before falling edge of write pulse when not using input 
registers 




f EABWDH 


EAB data hold time after falling edge of write pulse when not using input 
registers 




f EABWASU 


EAB address setup time before rising edge of write pulse when not using 
input registers 




f EABWAH 


EAB address hold time after falling edge of write pulse when not using input 
registers 




f EAB WO 


EAB write enable to data output valid delay 





62 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Table 36. Interconnect Timing Microparameters Note (1) 


Symbol 


Parameter 


Conditions 


hlN2IOE 


Delay from dedicated input pin to IOE control input 


(7) 


focLK2LE 


Delay from dedicated clock pin to LE or EAB clock 


(7) 


hlN2DATA 


Delay from dedicated input or clock to LE or EAB data 


(7) 


hcLK2IOE 


Delay from dedicated clock pin to IOE clock 


(7) 


hlN2LE 


Delay from dedicated input pin to LE or EAB control input 


(7) 


tSAMELAB 


Routing delay for an LE driving another LE in the same LAB 




t SAME ROW 


Routing delay for a row IOE, LE, or EAB driving a row IOE, LE, or EAB in the 
same row 


(7) 


tSAMECOLUMN 


Routing delay for an LE driving an IOE in the same column 


(7) 


hlFFROW 


Routing delay for a column IOE, LE, or EAB driving an LE or EAB in a different 
row 


(7) 


hwOROWS 


Routing delay for a row IOE or EAB driving an LE or EAB in a different row 


(7) 


kEPERIPH 


Routing delay for an LE driving a control signal of an IOE via the peripheral 
control bus 


(7) 


Ilabcarry 


Routing delay for the carry-out signal of an LE driving the carry-in signal of a 
different LE in a different LAB 




kABCASC 


Routing delay for the cascade-out signal of an LE driving the cascade-in 
signal of a different LE in a different LAB 





Table 37. External Timing Parameters Notes (8), (10) 


Symbol 


Parameter 


Conditions 


*DRR 


Register-to-register delay via four LEs, three row interconnects, and four local 
interconnects 


(9) 


tlNSU 


Setup time with global clock at IOE register 




*INH 


Hold time with global clock at IOE register 




toUTCO 


Clock-to-output delay with global clock at IOE register 





Table 38. External Bidirectional Timing Parameters Note (10) 


Symbol 


Parameter 


Condition 


tlNSUBIDIR 


Setup time for bidirectional pins with global clock at adjacent LE register 




tlNHBIDIR 


Hold time for bidirectional pins with global clock at adjacent LE register 




toUTCOBIDIR 


Clock-to-output delay for bidirectional pins with global clock at IOE register 




txZBIDIR 


Synchronous IOE output buffer disable delay 




tzXBIDIR 


Synchronous IOE output buffer enable delay, slow slew rate = off 





Altera Corporation 



63 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Notes to tables: 

(1) Microparameters are timing delays contributed by individual architectural elements. These parameters cannot be 
measured explicitly. 

(2) Operating conditions: VcciO - 5.0 V ± 5% for commercial use in FLEX 10K devices. 

v CClO = 5.0 V ± 10% for industrial use in FLEX 10K devices. 

VcciO - 3.3 V ± 10% for commercial or industrial use in FLEX 10KA devices. 

^CCIO - 3.3 V ± 10% for commercial or industrial use in FLEX 10K devices. 

^CCIO = 2-5 V ± 0.2 V for commercial or industrial use in FLEX 10KA devices. 

v CClO = 2 - 5 v > 3.3 V, or 5.0 V. 
Because the RAM in the EAB is self-timed, this parameter can be ignored when the WE signal is registered. 
EAB macroparameters are internal parameters that can simplify predicting the behavior of an EAB at its boundary; 
these parameters are calculated by summing selected microparameters. 

These parameters are worst-case values for typical applications. Post-compilation timing simulation and timing 
analysis are required to determine actual worst-case performance. 

External reference timing parameters are factory-tested, worst-case values specified by Altera. A representative 
subset of signal paths is tested to approximate typical device applications. 
Contact Altera Applications for test circuit specifications and test conditions. 
These timing parameters are sample-tested only. 



(3) 

(4) 
(5) 
(6) 

(7) 

(8) 

(9) 
(10) 



Operating conditions: 
Operating conditions: 



Figures 29 and 30 show the asynchronous and synchronous timing 
waveforms, respectively, for the EAB macroparameters in Table 34. 



Figure 29. EAB Asynchronous Timing Waveforms 
EAB Asynchronous Read 

WE 




Address 


aO 




X 


- tEABAA- 


a1 






X 


a2 






X 


a3 






Ieabrcco 






— ►! 














Data-Out 




dO 






X 




d 




X 




d2 




X d3 


EAB Asynchronous 


Write 




























WE 


/ 




^EABWP 
^EABWDS 




\ 












<\BWDH 
































Data-in 






dinO 








X 


dinl 


X 












!-< 


lEABWASU 


->■', 




WCCOMB 






■+ — 


" *EABWAH ~ 




















l tAt 












Address a0 


X 










a1 








X 






a2 
















j^— 


*"; tEABDD 












Data-Out 










X 


dinO 


X 




dinl 




X 




dout2 



64 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Figure 30. EAB Synchronous Timing Waveforms 
EAB Synchronous Read 

WE 



Address aO 



tEABDATASU f 



CLK 



Data-Out 



a1 



tEABDATAH- 



l EABDATACO 



a2 



l EABRCREG " 



d1 



a3 



d2 



EAB Synchronous Write (EAB Output Registers Used) 



WE 



Data-in 



Address aO 



CLK 



Data-Out 



dinl 



din2 



a1 



a2 



tEABWESU 



■ t 



EABDATASU 



doutO 



* tEABDATAH 



l EABWCREG- 



doutl 



din3 



a3 



tEABWEH- 



dinl 



l EABDATACO 



■< W 



din2 



a2 



din3 



din2 



Altera Corporation 



65 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Tables 39 through 47 show EPF10K10 and EPF10K20 device internal and 
external timing parameters. 



Table 39. EPF10K10 & EPF10K20 Device LE Timing Microparameters Note (1) 


Symbol 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


f LUT 




1.4 




1.7 


ns 


kLUT 




0.6 




0.7 


ns 


tRLUT 




1.5 




1.9 


ns 


t PACKED 




0.6 




0.9 


ns 


f EN 




1.0 




1.2 


ns 


hico 




0.2 




0.3 


ns 


tcGEN 




0.9 




1.2 


ns 


f CGENR 




0.9 




1.2 


ns 


kASC 




0.8 




0.9 


ns 


h 




1.3 




1.5 


ns 


ho 




0.9 




1.1 


ns 


tcOMB 




0.5 




0.6 


ns 


f SU 


1.3 




2.5 




ns 


t H 


1.4 




1.6 




ns 


tpRE 




1.0 




1.2 


ns 


hLR 




1.0 




1.2 


ns 


f CH 


4.0 




4.0 




ns 


t C L 


4.0 




4.0 




ns 



66 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 40. EPF10K10 & EPF10K20 Device IOE Timing Microparameters Note (1) 


Symbol 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


hoD 




1.3 




1.6 


ns 


hoc 




0.5 




0.7 


ns 


hoco 




0.2 




0.2 


ns 


t/OCOMB 




0.0 




0.0 


ns 


tiosu 


2.8 




3.2 




ns 


hoH 


1.0 




1.2 




ns 


tlOCLR 




1.0 




1.2 


ns 


t<DD1 




2.6 




3.5 


ns 


foD2 




4.9 




6.4 


ns 


f OD3 




6.3 




8.2 


ns 


txz 




4.5 




5.4 


ns 


f ZX1 




4.5 




5.4 


ns 


*ZX2 




6.8 




8.3 


ns 


tzX3 




8.2 




10.1 


ns 


f INREG 




6.0 




7.5 


ns 


t/OFD 




3.1 




3.5 


ns 


tlNCOMB 




3.1 




3.5 


ns 



Altera Corporation 



67 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 








Table 41. EPF10K10 & EPF10K20 Device EAB Internal Microparameters Note (1) 


Symbol 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Ieabdatai 




1.5 




1.9 


ns 


t EABDATA2 




4.8 




6.0 


ns 


^EABWEI 




1.0 




1.2 


ns 


t EABWE2 




5.0 




6.2 


ns 


f EABCLK 




1.0 




2.2 


ns 


f EABCO 




0.5 




0.6 


ns 


f EABBYPASS 




1.5 




1.9 


ns 


tEABSU 


1.5 




1.8 




ns 


f EABH 


2.0 




2.5 




ns 


*AA 




8.7 




10.7 


ns 


twp 


5.8 




7.2 




ns 


twDSU 


1.6 




2.0 




ns 


twDH 


0.3 




0.4 




ns 


twASU 


0.5 




0.6 




ns 


twAH 


1.0 




1.2 




ns 


two 




5.0 




6.2 


ns 


f DD 




5.0 




6.2 


ns 


tEABOUT 




0.5 




0.6 


ns 


tEABCH 


4.0 




4.0 




ns 


tEABCL 


5.8 




7.2 




ns 



68 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 42. EPF10K10 & EPF10K20 Device EAB Internal Timing Macroparameters Note (1) 


Symbol 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


*EABAA 




13.7 




17.0 


ns 


Ieabrccomb 


13.7 




17.0 




ns 


^eabrcreg 


9.7 




11.9 




ns 


Ieabwp 


5.8 




7.2 




ns 


Ieabwccomb 


7.3 




9.0 




ns 


Ieabwcreg 


13.0 




16.0 




ns 


^eabdd 




10.0 




12.5 


ns 


^eabdataco 




2.0 




3.4 


ns 


Ieabdatasu 


5.3 




5.6 




ns 


^eabdatah 


0.0 




0.0 




ns 


f EABWESU 


5.5 




5.8 




ns 


f EABWEH 


0.0 




0.0 




ns 


Ieabwdsu 


5.5 




5.8 




ns 


Ieabwdh 


0.0 




0.0 




ns 


f EABWASU 


2.1 




2.7 




ns 


Ieabwah 


0.0 




0.0 




ns 


^eabwo 




9.5 




11.8 


ns 



Altera Corporation 



69 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 43. EPF10K10 Device Interconnect Timing Microparameters Note (1) 


Symbol 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


f DIN2IOE 




4.8 




6.2 


ns 


hlN2LE 




2.6 




3.8 


ns 


hlN2DATA 




4.3 




5.2 


ns 


f DCLK2IOE 




3.4 




4.0 


ns 


hcLK2LE 




2.6 




3.8 


ns 


tSAMELAB 




0.6 




0.6 


ns 


t SAME ROW 




3.6 




3.8 


ns 


tsAMECOLUMN 




0.9 




1.1 


ns 


hlFFROW 




4.5 




4.9 


ns 


* TWO ROWS 




8.1 




8.7 


ns 


kEPERIPH 




3.3 




3.9 


ns 


kABCARRY 




0.5 




0.8 


ns 


kABCASC 




2.7 




3.0 


ns 




Table 44. EPF10K20 Device Interconnect Timing Microparameters Note (1) 


Symbol 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


tDIN2IOE 




5.2 




6.6 


ns 


f DIN2LE 




2.6 




3.8 


ns 


tDlN2DATA 




4.3 




5.2 


ns 


tDCLK2IOE 




4.3 




4.0 


ns 


tDCLK2LE 




2.6 




3.8 


ns 


tSAMELAB 




0.6 




0.6 


ns 


f SAME ROW 




3.7 




3.9 


ns 


tsAMECOLUMN 




1.4 




1.6 


ns 


tDIFFROW 




5.1 




5.5 


ns 


t TWO ROWS 




8.8 




9.4 


ns 


f LEPERIPH 




4.7 




5.6 


ns 


f LABCARRY 




0.5 




0.8 


ns 


f LABCASC 




2.7 




3.0 


ns 



70 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Notes to tables: 

(1) All timing parameters are described in Tables 32 through 38 in this data sheet. 

(2) Using an LE to register the signal may provide a lower setup time. 

(3) This parameter is specified by characterization. 





Table 45. EPF10K10 & EPF10K20 Device External Timing Parameters Note (1) 


Symbol 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


*DRR 




16.1 




20.0 


ns 


tlNSU (*)> (3) 


5.5 




6.0 




ns 


t|NH <3) 


0.0 




0.0 




ns 


l OUTCO ( 3 ) 


2.0 


6.7 


2.0 


8.4 


ns 




Table 46. EPF10K10 Device External Bidirectional Timing Parameters Note (1) 


Symbol 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


tlNSUBIDIR 


4.5 




5.6 




ns 


tlNHBIDIR 


0.0 




0.0 




ns 


*OUTCOBIDIR 


2.0 


6.7 


2.0 


8.4 


ns 


txZBIDIR 




10.5 




13.4 


ns 


tzXBIDIR 




10.5 




13.4 


ns 




Table 47. EPF10K20 Device External Bidirectional Timing Parameters Note (1) 


Symbol 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


t|NSUBIDIR 


4.6 




5.7 




ns 


l INHBIDIR 


0.0 




0.0 




ns 


*OUTCOBIDIR 


2.0 


6.7 


2.0 


8.4 


ns 


txZBIDIR 




10.5 




13.4 


ns 


*ZXBIDIR 




10.5 




13.4 


ns 



Altera Corporation 



71 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Tables 48 through 56 show EPF10K30, EPF10K40, and EPF10K50 device 
internal and external timing parameters. 



Table 48. EPF10K30, EPF10K40 & EPF10K50 Device LE Timing Microparameters Note (1) 


Symbol 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


f LUT 




1.3 




1.8 


ns 


kLUT 




0.6 




0.6 


ns 


tRLUT 




1.5 




2.0 


ns 


t PACKED 




0.5 




0.8 


ns 


f EN 




0.9 




1.5 


ns 


hico 




0.2 




0.4 


ns 


tcGEN 




0.9 




1.4 


ns 


f CGENR 




0.9 




1.4 


ns 


kASC 




1.0 




1.2 


ns 


h 




1.3 




1.6 


ns 


ho 




0.9 




1.2 


ns 


tcOMB 




0.6 




0.6 


ns 


f SU 


1.4 




1.4 




ns 


t H 


0.9 




1.3 




ns 


tpRE 




0.9 




1.2 


ns 


hLR 




0.9 




1.2 


ns 


f CH 


4.0 




4.0 




ns 


t C L 


4.0 




4.0 




ns 



72 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 49. EPF10K30, EPF10K40 & EPF10K50 Device IOE Timing Microparameters Note (1) 


Symbol 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


hoD 




0.4 




0.6 


ns 


hoc 




0.5 




0.9 


ns 


hoco 




0.4 




0.5 


ns 


t/OCOMB 




0.0 




0.0 


ns 


tiosu 


3.1 




3.5 




ns 


hoH 


1.0 




1.9 




ns 


tlOCLR 




1.0 




1.2 


ns 


t<DD1 




3.3 




3.6 


ns 


foD2 




5.6 




6.5 


ns 


f OD3 




7.0 




8.3 


ns 


txz 




5.2 




5.5 


ns 


f ZX1 




5.2 




5.5 


ns 


*ZX2 




7.5 




8.4 


ns 


tzX3 




8.9 




10.2 


ns 


f INREG 




7.7 




10.0 


ns 


t/OFD 




3.3 




4.0 


ns 


tlNCOMB 




3.3 




4.0 


ns 



Altera Corporation 



73 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 50. EPF10K30, EPF10K40 & EPF10K50 Device EAB Internal Microparameters Note (1) 


Symbol 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Ieabdatai 




1.5 




1.9 


ns 


t EABDATA2 




4.8 




6.0 


ns 


^EABWEI 




1.0 




1.2 


ns 


t EABWE2 




5.0 




6.2 


ns 


f EABCLK 




1.0 




2.2 


ns 


f EABCO 




0.5 




0.6 


ns 


f EABBYPASS 




1.5 




1.9 


ns 


tEABSU 


1.5 




1.8 




ns 


f EABH 


2.0 




2.5 




ns 


*AA 




8.7 




10.7 


ns 


twp 


5.8 




7.2 




ns 


twDSU 


1.6 




2.0 




ns 


twDH 


0.3 




0.4 




ns 


twASU 


0.5 




0.6 




ns 


twAH 


1.0 




1.2 




ns 


two 




5.0 




6.2 


ns 


f DD 




5.0 




6.2 


ns 


tEABOUT 




0.5 




0.6 


ns 


tEABCH 


4.0 




4.0 




ns 


tEABCL 


5.8 




7.2 




ns 



74 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 51. EPF10K30, EPF10K40 & EPF10K50 Device EAB Internal Timing Macroparameters Note (1) 


Symbol 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


*EABAA 




13.7 




17.0 


ns 


Ieabrccomb 


13.7 




17.0 




ns 


^eabrcreg 


9.7 




11.9 




ns 


Ieabwp 


5.8 




7.2 




ns 


Ieabwccomb 


7.3 




9.0 




ns 


Ieabwcreg 


13.0 




16.0 




ns 


tEABDD 




10.0 




12.5 


ns 


tEABDATACO 




2.0 




3.4 


ns 


Ieabdatasu 


5.3 




5.6 




ns 


^eabdatah 


0.0 




0.0 




ns 


f EABWESU 


5.5 




5.8 




ns 


f EABWEH 


0.0 




0.0 




ns 


Ieabwdsu 


5.5 




5.8 




ns 


Ieabwdh 


0.0 




0.0 




ns 


f EABWASU 


2.1 




2.7 




ns 


tEABWAH 


0.0 




0.0 




ns 


^EABWO 




9.5 




11.8 


ns 



Altera Corporation 



75 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 52. EPF10K30 Device Interconnect Timing Microparameters Note (1) 


Symbol 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


f DIN2IOE 




6.9 




8.7 


ns 


hlN2LE 




3.6 




4.8 


ns 


hlN2DATA 




5.5 




7.2 


ns 


f DCLK2IOE 




4.6 




6.2 


ns 


hcLK2LE 




3.6 




4.8 


ns 


tSAMELAB 




0.3 




0.3 


ns 


t SAME ROW 




3.3 




3.7 


ns 


tsAMECOLUMN 




2.5 




2.7 


ns 


hlFFROW 




5.8 




6.4 


ns 


* TWO ROWS 




9.1 




10.1 


ns 


kEPERIPH 




6.2 




7.1 


ns 


kABCARRY 




0.4 




0.6 


ns 


kABCASC 




2.4 




3.0 


ns 




Table 53. EPF10K40 Device Interconnect Timing Microparameters Note (1) 


Symbol 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


tDIN2IOE 




7.6 




9.4 


ns 


f DIN2LE 




3.6 




4.8 


ns 


tDlN2DATA 




5.5 




7.2 


ns 


tDCLK2IOE 




4.6 




6.2 


ns 


tDCLK2LE 




3.6 




4.8 


ns 


tSAMELAB 




0.3 




0.3 


ns 


f SAME ROW 




3.3 




3.7 


ns 


tsAMECOLUMN 




3.1 




3.2 


ns 


tDIFFROW 




6.4 




6.4 


ns 


t TWO ROWS 




9.7 




10.6 


ns 


f LEPERIPH 




6.4 




7.1 


ns 


f LABCARRY 




0.4 




0.6 


ns 


f LABCASC 




2.4 




3.0 


ns 



76 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 54. EPF10K50 Device Interconnect Timing Microparameters Note (1) 


Symbol 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


hlN2IOE 




8.4 




10.2 


ns 


hlN2LE 




3.6 




4.8 


ns 


hlN2DATA 




5.5 




7.2 


ns 


hcLK2IOE 




4.6 




6.2 


ns 


hcLK2LE 




3.6 




4.8 


ns 


tsAMELAB 




0.3 




0.3 


ns 


t SAME ROW 




3.3 




3.7 


ns 


tsAMECOLUMN 




3.9 




4.1 


ns 


folFFROW 




7.2 




7.8 


ns 


t TWO ROWS 




10.5 




11.5 


ns 


kEPERIPH 




7.5 




8.2 


ns 


kABCARRY 




0.4 




0.6 


ns 


kABCASC 




2.4 




3.0 


ns 




Table 55. EPF10K30, EPF10K40 & EPF10K50 Device External Timing Parameters Note (1) 


Symbol 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


*DRR 




17.2 




21.1 


ns 


tlNSU (2), (3) 


5.7 




6.4 




ns 


t|NH (3) 


0.0 




0.0 




ns 


*OUTCO f 3 ) 


2.0 


8.8 


2.0 


11.2 


ns 




Table 56. EPFWK30, EPF10K40 & EPF10K50 Device External Bidirectional Timing Parameters Note (1) 


Symbol 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


tlNSUBIDIR 


4.1 




4.6 




ns 


tlNHBIDIR 


0.0 




0.0 




ns 


*OUTCOBIDIR 


2.0 


8.8 


2.0 


11.2 


ns 


txZBIDIR 




12.3 




15.0 


ns 


tzXBIDIR 




12.3 




15.0 


ns 



Altera Corporation 



77 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 

Notes to tables: 

(1) All timing parameters are described in Tables 32 through 38 in this data sheet. 

(2) Using an LE to register the signal may provide a lower setup time. 

(3) This parameter is specified by characterization. 



Tables 57 through 63 show EPF10K70 device internal and external timing 
parameters. 



Table 57. EPF10K70 Device LE Timing Microparameters Note (1) 


Symbol 


-2 Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


f LUT 




1.3 




1.5 




2.0 


ns 


f CLUT 




0.4 




0.4 




0.5 


ns 


Irlut 




1.5 




1.6 




2.0 


ns 


* PACKED 




0.8 




0.9 




1.3 


ns 


*EN 




0.8 




0.9 




1.2 


ns 


f CICO 




0.2 




0.2 




0.3 


ns 


hGEN 




1.0 




1.1 




1.4 


ns 


f CGENR 




1.1 




1.2 




1.5 


ns 


hASC 




1.0 




1.1 




1.3 


ns 


h 




0.7 




0.8 




1.0 


ns 


ho 




0.9 




1.0 




1.4 


ns 


hOMB 




0.4 




0.5 




0.7 


ns 


f su 


1.9 




2.1 




2.6 




ns 


t H 


2.1 




2.3 




3.1 




ns 


tpRE 




0.9 




1.0 




1.4 


ns 


tcLR 




0.9 




1.0 




1.4 


ns 


f CH 


4.0 




4.0 




4.0 




ns 


t C L 


4.0 




4.0 




4.0 




ns 



78 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 58. EPF10K70 Device IOE Timing Microparameters Note (1) 


Symbol 


-2 Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


hoD 




0.0 




0.0 




0.0 


ns 


hoc 




0.4 




0.5 




0.7 


ns 


hoco 




0.4 




0.4 




0.9 


ns 


t/OCOMB 




0.0 




0.0 




0.0 


ns 


tiosu 


4.5 




5.0 




6.2 




ns 


hoH 


0.4 




0.5 




0.7 




ns 


tlOCLR 




0.6 




0.7 




1.6 


ns 


t<DD1 




3.6 




4.0 




5.0 


ns 


foD2 




5.6 




6.3 




7.3 


ns 


f OD3 




6.9 




7.7 




8.7 


ns 


txz 




5.5 




6.2 




6.8 


ns 


f ZX1 




5.5 




6.2 




6.8 


ns 


*ZX2 




7.5 




8.5 




9.1 


ns 


tzX3 




8.8 




9.9 




10.5 


ns 


f INREG 




8.0 




9.0 




10.2 


ns 


t/OFD 




7.2 




8.1 




10.3 


ns 


tlNCOMB 




7.2 




8.1 




10.3 


ns 



Altera Corporation 



79 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 59. EPF10K70 Device EAB Internal Microparameters Note (1) 


Symbol 


-2 Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


Ieabdatai 




1.3 




1.5 




1.9 


ns 


t EABDATA2 




4.3 




4.8 




6.0 


ns 


*EABWE1 




0.9 




1.0 




1.2 


ns 


t EABWE2 




4.5 




5.0 




6.2 


ns 


f EABCLK 




0.9 




1.0 




2.2 


ns 


f EABCO 




0.4 




0.5 




0.6 


ns 


f EABBYPASS 




1.3 




1.5 




1.9 


ns 


tEABSU 


1.3 




1.5 




1.8 




ns 


f EABH 


1.8 




2.0 




2.5 




ns 


*AA 




7.8 




8.7 




10.7 


ns 


twp 


5.2 




5.8 




7.2 




ns 


twDSU 


1.4 




1.6 




2.0 




ns 


twDH 


0.3 




0.3 




0.4 




ns 


twASU 


0.4 




0.5 




0.6 




ns 


twAH 


0.9 




1.0 




1.2 




ns 


two 




4.5 




5.0 




6.2 


ns 


f DD 




4.5 




5.0 




6.2 


ns 


Ieabout 




0.4 




0.5 




0.6 


ns 


t-EABCH 


4.0 




4.0 




4.0 




ns 


f EABCL 


5.2 




5.8 




7.2 




ns 



80 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 60. EPF10K70 Device EAB Internal Timing Macroparameters Note (1) 


Symbol 


-2 Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


*EABAA 




12.1 




13.7 




17.0 


ns 


Ieabrccomb 


12.1 




13.7 




17.0 




ns 


^eabrcreg 


8.6 




9.7 




11.9 




ns 


Ieabwp 


5.2 




5.8 




7.2 




ns 


Ieabwccomb 


6.5 




7.3 




9.0 




ns 


Ieabwcreg 


11.6 




13.0 




16.0 




ns 


^eabdd 




8.8 




10.0 




12.5 


ns 


^EABDATACO 




1.7 




2.0 




3.4 


ns 


Ieabdatasu 


4.7 




5.3 




5.6 




ns 


Ieabdatah 


0.0 




0.0 




0.0 




ns 


f EABWESU 


4.9 




5.5 




5.8 




ns 


f EABWEH 


0.0 




0.0 




0.0 




ns 


Ieabwdsu 


1.8 




2.1 




2.7 




ns 


Ieabwdh 


0.0 




0.0 




0.0 




ns 


f EABWASU 


4.1 




4.7 




5.8 




ns 


Ieabwah 


0.0 




0.0 




0.0 




ns 


^EABWO 




8.4 




9.5 




11.8 


ns 



Altera Corporation 



81 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 61. EPF10K70 Device Interconnect Timing Microparameters Note (1) 


Symbol 


-2 Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


f DIN2IOE 




6.6 




7.3 




8.8 


ns 


hlN2LE 




4.2 




4.8 




6.0 


ns 


hlN2DATA 




6.5 




7.1 




10.8 


ns 


f DCLK2IOE 




5.5 




6.2 




1.1 


ns 


hcLK2LE 




4.2 




4.8 




6.0 


ns 


tSAMELAB 




0.4 




0.4 




0.5 


ns 


t SAME ROW 




4.8 




4.9 




5.5 


ns 


tsAMECOLUMN 




3.3 




3.4 




3.7 


ns 


hlFFROW 




8.1 




8.3 




9.2 


ns 


* TWO ROWS 




12.9 




13.2 




14.7 


ns 


kEPERIPH 




5.5 




5.7 




6.5 


ns 


kABCARRY 




0.8 




0.9 




1.1 


ns 


f LABCASC 




2.7 




3.0 




3.2 


ns 




Table 62. EPF10K70 Device External Timing Parameters Note (1) 


Symbol 


-2 Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


*DRR 




17.2 




19.1 




24.2 


ns 


tlNSU (2), (3) 


6.6 




7.3 




8.0 




ns 


t|NH (3) 


0.0 




0.0 




0.0 




ns 


toilTCO (3) 


2.0 


9.9 


2.0 


11.1 


2.0 


14.3 


ns 




Table 63. EPF10K70 Device External Bidirectional Timing Parameters Note (1) 


Symbol 


•2 Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


tlNSUBIDIR 


7.4 




8.1 




10.4 




ns 


tlNHBIDIR 


0.0 




0.0 




0.0 




ns 


toUTCOBIDIR 


2.0 


9.9 


2.0 


11.1 


2.0 


14.3 


ns 


txZBIDIR 




13.7 




15.4 




18.5 


ns 


tzXBIDIR 




13.7 




15.4 




18.5 


ns 



82 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Notes to tables: 

(1) All timing parameters are described in Tables 32 through 38 in this data sheet. 

(2) Using an LE to register the signal may provide a lower setup time. 

(3) This parameter is specified by characterization. 

Tables 64 through 70 show EPF10K100 device internal and external timing 
parameters. 



Table 64. EPF10K100 Device LE Timing Microparameters Note (1) 


Symbol 


-3DX Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


kur 




1.5 




1.5 




2.0 


ns 


tcLUT 




0.4 




0.4 




0.5 


ns 


f RLUT 




1.6 




1.6 




2.0 


ns 


* PACK ED 




0.9 




0.9 




1.3 


ns 


*EN 




0.9 




0.9 




1.2 


ns 


tcico 




0.2 




0.2 




0.3 


ns 


kGEN 




1.1 




1.1 




1.4 


ns 


tCGENR 




1.2 




1.2 




1.5 


ns 


f CASC 




1.1 




1.1 




1.3 


ns 


tc 




0.8 




0.8 




1.0 


ns 


f co 




1.0 




1.0 




1.4 


ns 


tcOMB 




0.5 




0.5 




0.7 


ns 


tsu 


2.1 




2.1 




2.6 




ns 


t H 


2.3 




2.3 




3.1 




ns 


tpRE 




1.0 




1.0 




1.4 


ns 


kLR 




1.0 




1.0 




1.4 


ns 


tCH 


4.0 




4.0 




4.0 




ns 


hL 


4.0 




4.0 




4.0 




ns 



Altera Corporation 



83 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 65. EPF10K100 Device IOE Timing Microparameters Note (1) 


Symbol 


-3DX Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


t/OD 




0.0 




0.0 




0.0 


ns 


hoc 




0.5 




0.5 




0.7 


ns 


hoco 




0.4 




0.4 




0.9 


ns 


t/OCOMB 




0.0 




0.0 




0.0 


ns 


f IOSU 


5.5 




5.5 




6.7 




ns 


hOH 


0.5 




0.5 




0.7 




ns 


tlOCLR 




0.7 




0.7 




1.6 


ns 


foD1 




4.0 




4.0 




5.0 


ns 


hD2 




6.3 




6.3 




7.3 


ns 


f OD3 




7.7 




7.7 




8.7 


ns 


txz 




6.2 




6.2 




6.8 


ns 


*ZX1 




6.2 




6.2 




6.8 


ns 


f ZX2 




8.5 




8.5 




9.1 


ns 


f ZX3 




9.9 




9.9 




10.5 


ns 


t/NREG without ClockLock or 
ClockBoost circuitry 




9.0 




9.0 




10.5 


ns 


f /A/ fl EG with ClockLock or 
ClockBoost circuitry 




3.0 




— 




— 


ns 


t/OFD 




8.1 




8.1 




10.3 


ns 


Uncomb 




8.1 




8.1 




10.3 


ns 



84 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 66. EPF10K100 Device EAB Internal Microparameters Note (1) 


Symbol 


-3DX Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


*EABDATA1 




1.5 




1.5 




1.9 


ns 


tEABDATA2 




4.8 




4.8 




6.0 


ns 


Ieabwei 




1.0 




1.0 




1.2 


ns 


i EABWE2 




5.0 




5.0 




6.2 


ns 


Ieabclk 




1.0 




1.0 




2.2 


ns 


Ieabco 




0.5 




0.5 




0.6 


ns 


^eabbypass 




1.5 




1.5 




1.9 


ns 


Ieabsu 


1.5 




1.5 




1.8 




ns 


Ieabh 


2.0 




2.0 




2.5 




ns 


f AA 




8.7 




8.7 




10.7 


ns 


twp 


5.8 




5.8 




7.2 




ns 


twDSU 


1.6 




1.6 




2.0 




ns 


t\A/DH 


0.3 




0.3 




0.4 




ns 


twASU 


0.5 




0.5 




0.6 




ns 


f WAH 


1.0 




1.0 




1.2 




ns 


two 




5.0 




5.0 




6.2 


ns 


tDD 




5.0 




5.0 




6.2 


ns 


tEABOUT 




0.5 




0.5 




0.6 


ns 


tEABCH 


4.0 




4.0 




4.0 




ns 


tEABCL 


5.8 




5.8 




7.2 




ns 



Altera Corporation 



85 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 67. EPF10K100 Device EAB Internal Timing Macroparameters Note (1) 


Symbol 


-3DX Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


*EABAA 




13.7 




13.7 




17.0 


ns 


f EABRCCOMB 


13.7 




13.7 




17.0 




ns 


f EABRCREG 


9.7 




9.7 




11.9 




ns 


f EABWP 


5.8 




5.8 




7.2 




ns 


^EABWCCOMB 


7.3 




7.3 




9.0 




ns 


f EABWCREG 


13.0 




13.0 




16.0 




ns 


f EABDD 




10.0 




10.0 




12.5 


ns 


tEABDATACO 




2.0 




2.0 




3.4 


ns 


Ieabdatasu 


5.3 




5.3 




5.6 




ns 


^EABDATAH 


0.0 




0.0 




0.0 




ns 


Ieabwesu 


5.5 




5.5 




5.8 




ns 


Ieabweh 


0.0 




0.0 




0.0 




ns 


f EABWDSU 


5.5 




5.5 




5.8 




ns 


f EABWDH 


0.0 




0.0 




0.0 




ns 


f EABWASU 


2.1 




2.1 




2.7 




ns 


f EABWAH 


0.0 




0.0 




0.0 




ns 


^EABWO 




9.5 




9.5 




11.8 


ns 



86 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 68. EPF10K100 Device Interconnect Timing Microparameters Note (1) 


Symbol 


-3DX Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


hlN2IOE 




10.3 




10.3 




12.2 


ns 


hlN2LE 




4.8 




4.8 




6.0 


ns 


hlN2DATA 




7.3 




7.3 




11.0 


ns 


tocLK2iOE without ClockLock or 
ClockBoost circuitry 




6.2 




6.2 




7.7 


ns 


tocLK2iOE with ClockLock or ClockBoost 
circuitry 




2.3 




— 




— 


ns 


hcLK2LE without ClockLock or 
ClockBoost circuitry 




4.8 




4.8 




6.0 


ns 


hcLK2LE with ClockLock or ClockBoost 
circuitry 




2.3 




— 




— 


ns 


tsAMELAB 




0.4 




0.4 




0.5 


ns 


t SAME ROW 




4.9 




4.9 




5.5 


ns 


tsAMECOLUMN 




5.1 




5.1 




5.4 


ns 


folFFROW 




10.0 




10.0 




10.9 


ns 


hwoRows 




14.9 




14.9 




16.4 


ns 


kEPERIPH 




6.9 




6.9 




8.1 


ns 


kABCARRY 




0.9 




0.9 




1.1 


ns 


kABCASC 




3.0 




3.0 




3.2 


ns 



Altera Corporation 



87 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Notes to tables: 

(1) All timing parameters are described in Tables 32 through 38 in this data sheet. 

(2) Using an LE to register the signal may provide a lower setup time. 

(3) This parameter is specified by characterization. 

(4) This parameter is measured without the use of the ClockLock or ClockBoost circuits. 

(5) This parameter is measured with the use of the ClockLock or ClockBoost circuits. 





Table 69. EPF10K100 Device External Timing Parameters Note (1) 


Symbol 


-3DX Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


*DRR 




19.1 




19.1 




24.2 


ns 


t|NSU (2), (3), (4) 


7.8 




7.8 




8.5 




ns 


toUTCO (3), (4) 


2.0 


11.1 


2.0 


11.1 


2.0 


14.3 


ns 


t|NH (3) 


0.0 




0.0 




0.0 




ns 


t|NSU (2), (3), (5) 


6.2 




- 




- 




ns 


toUTCO (3), (5) 


2.0 


6.7 




- 




- 


ns 




Table 70. EPF10K100 Device External Bidirectional Timing Parameters Note (1) 


Symbol 


-3DX Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


tlNSUBIDIR ( 4 ) 


8.1 




8.1 




10.4 




ns 


tlNHBIDIR W 


0.0 




0.0 




0.0 




ns 


tOLITCOBIDIR W 


2.0 


11.1 


2.0 


11.1 


2.0 


14.3 


ns 


txZBIDIR W 




15.3 




15.3 




18.4 


ns 


tzXBIDIR ( 4 ) 




15.3 




15.3 




18.4 


ns 


tlNSUBIDIR ( 5 ) 


9.1 




- 




- 




ns 


tlNHBIDIR ( 5 ) 


0.0 




— 




- 




ns 


^OUTCOBIDIR ( 5 ) 


2.0 


7.2 


— 


- 


- 


- 


ns 


txZBIDIR (5) 




14.3 




- 




- 


ns 


tzXBIDIR (5) 




14.3 




- 




- 


ns 



88 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Tables 71 through 77 show EPF10K50V device internal and external 
timing parameters. 



Table 71. EPF10K50V Device LE Timing Microparameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


Min 


Max 


kur 




0.9 




1.0 




1.3 




1.6 


ns 


tCLUT 




0.1 




0.5 




0.6 




0.6 


ns 


tRLUT 




0.5 




0.8 




0.9 




1.0 


ns 


t PACK ED 




0.4 




0.4 




0.5 




0.7 


ns 


t/V 




0.7 




0.9 




1.1 




1.4 


ns 


tcico 




0.2 




0.2 




0.2 




0.3 


ns 


tcGEN 




0.8 




0.7 




0.8 




1.2 


ns 


kGENR 




0.4 




0.3 




0.3 




0.4 


ns 


tcASC 




0.7 




0.7 




0.8 




0.9 


ns 


tc 




0.3 




1.0 




1.3 




1.5 


ns 


tco 




0.5 




0.7 




0.9 




1.0 


ns 


hoMB 




0.4 




0.4 




0.5 




0.6 


ns 


tsu 


0.8 




1.6 




2.2 




2.5 




ns 


t H 


0.5 




0.8 




1.0 




1.4 




ns 


tpRE 




0.8 




0.4 




0.5 




0.5 


ns 


tCLR 




0.8 




0.4 




0.5 




0.5 


ns 


tCH 


2.0 




4.0 




4.0 




4.0 




ns 


t C L 


2.0 




4.0 




4.0 




4.0 




ns 



Altera Corporation 



89 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 72. EPF10K50V Device IOE Timing Microparameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


Min 


Max 


t/OD 




1.2 




1.6 




1.9 




2.1 


ns 


hoc 




0.3 




0.4 




0.5 




0.5 


ns 


hoco 




0.3 




0.3 




0.4 




0.4 


ns 


t/OCOMB 




0.0 




0.0 




0.0 




0.0 


ns 


f IOSU 


2.8 




2.8 




3.4 




3.9 




ns 


hOH 


0.7 




0.8 




1.0 




1.4 




ns 


tlOCLR 




0.5 




0.6 




0.7 




0.7 


ns 


foD1 




2.8 




3.2 




3.9 




4.7 


ns 


hD2 




- 




- 




- 




- 


ns 


f OD3 




6.5 




6.9 




7.6 




8.4 


ns 


txz 




2.8 




3.1 




3.8 




4.6 


ns 


*ZX1 




2.8 




3.1 




3.8 




4.6 


ns 


f ZX2 




- 




- 




- 




- 


ns 


f ZX3 




6.5 




6.8 




7.5 




8.3 


ns 


t/NREG 




5.0 




5.7 




7.0 




9.0 


ns 


t/OFD 




1.5 




1.9 




2.3 




2.7 


ns 


t/NCOMB 




1.5 




1.9 




2.3 




2.7 


ns 



90 



Altera Corporation 









FLEX 10K Embedded Programmable Logic Device Family Data Sheet 




Table 73. EPF10K50V Device EAB Internal Microparameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


Min 


Max 


*EABDATA1 




1.7 




2.8 




3.4 




4.6 


ns 


tEABDATA2 




4.9 




3.9 




4.8 




5.9 


ns 


Ieabwei 




0.0 




2.5 




3.0 




3.7 


ns 


i EABWE2 




4.0 




4.1 




5.0 




6.2 


ns 


Ieabclk 




0.4 




0.8 




1.0 




1.2 


ns 


Ieabco 




0.1 




0.2 




0.3 




0.4 


ns 


^eabbypass 




0.9 




1.1 




1.3 




1.6 


ns 


tEABSU 


0.8 




1.5 




1.8 




2.2 




ns 


Ieabh 


0.8 




1.6 




2.0 




2.5 




ns 


f AA 




5.5 




8.2 




10.0 




12.4 


ns 


twp 


6.0 




4.9 




6.0 




7.4 




ns 


twDSU 


0.1 




0.8 




1.0 




1.2 




ns 


t\A/DH 


0.1 




0.2 




0.3 




0.4 




ns 


twASU 


0.1 




0.4 




0.5 




0.6 




ns 


f WAH 


0.1 




0.8 




1.0 




1.2 




ns 


two 




2.8 




4.3 




5.3 




6.5 


ns 


tDD 




2.8 




4.3 




5.3 




6.5 


ns 


tEABOUT 




0.5 




0.4 




0.5 




0.6 


ns 


tEABCH 


2.0 




4.0 




4.0 




4.0 




ns 


tEABCL 


6.0 




4.9 




6.0 




7.4 




ns 



Altera Corporation 



91 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 74. EPF10K50V Device EAB Internal Timing Macroparameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


Min 


Max 


*EABAA 




9.5 




13.6 




16.5 




20.8 


ns 


f EABRCCOMB 


9.5 




13.6 




16.5 




20.8 




ns 


f EABRCREG 


6.1 




8.8 




10.8 




13.4 




ns 


f EABWP 


6.0 




4.9 




6.0 




7.4 




ns 


^EABWCCOMB 


6.2 




6.1 




7.5 




9.2 




ns 


f EABWCREG 


12.0 




11.6 




14.2 




17.4 




ns 


f EABDD 




6.8 




9.7 




11.8 




14.9 


ns 


tEABDATACO 




1.0 




1.4 




1.8 




2.2 


ns 


Ieabdatasu 


5.3 




4.6 




5.6 




6.9 




ns 


^EABDATAH 


0.0 




0.0 




0.0 




0.0 




ns 


Ieabwesu 


4.4 




4.8 




5.8 




7.2 




ns 


Ieabweh 


0.0 




0.0 




0.0 




0.0 




ns 


f EABWDSU 


1.8 




1.1 




1.4 




2.1 




ns 


f EABWDH 


0.0 




0.0 




0.0 




0.0 




ns 


f EABWASU 


4.5 




4.6 




5.6 




7.4 




ns 


f EABWAH 


0.0 




0.0 




0.0 




0.0 




ns 


^EABWO 




5.1 




9.4 




11.4 




14.0 


ns 



92 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 75. EPF10K50V Device Interconnect Timing Microparameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


Min 


Max 


hlN2IOE 




4.7 




6.0 




7.1 




8.2 


ns 


folN2LE 




2.5 




2.6 




3.1 




3.9 


ns 


hlN2DATA 




4.4 




5.9 




6.8 




7.7 


ns 


hcLK2IOE 




2.5 




3.9 




4.7 




5.5 


ns 


hcLK2LE 




2.5 




2.6 




3.1 




3.9 


ns 


tsAMELAB 




0.2 




0.2 




0.3 




0.3 


ns 


t SAME ROW 




2.8 




3.0 




3.2 




3.4 


ns 


tsAMECOLUMN 




3.0 




3.2 




3.4 




3.6 


ns 


folFFROW 




5.8 




6.2 




6.6 




7.0 


ns 


t TWO ROWS 




8.6 




9.2 




9.8 




10.4 


ns 


kEPERIPH 




4.5 




5.5 




6.1 




7.0 


ns 


kABCARRY 




0.3 




0.4 




0.5 




0.7 


ns 


kABCASC 




0.0 




1.3 




1.6 




2.0 


ns 




Table 76. EPF10K50V Device External Timing Parameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


Min 


Max 


*DRR 




11.2 




14.0 




17.2 




21.1 


ns 


tlNSU (2), (3) 


5.5 




4.2 




5.2 




6.9 




ns 


t|NH 0) 


0.0 




0.0 




0.0 




0.0 




ns 


*OUTCO (3) 


2.0 


5.9 


2.0 


7.8 


2.0 


9.5 


2.0 


11.1 


ns 




Table 77. EPF10K50V Device External Bidirectional Timing Parameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


Min 


Max 


tlNSUBIDIR 


2.0 




2.8 




3.5 




4.1 




ns 


tlNHBIDIR 


0.0 




0.0 




0.0 




0.0 




ns 


*OUTCOBIDIR 


2.0 


5.9 


2.0 


7.8 


2.0 


9.5 


2.0 


11.1 


ns 


txZBIDIR 




8.0 




9.8 




11.8 




14.3 


ns 


tzXBIDIR 




8.0 




9.8 




11.8 




14.3 


ns 



Altera Corporation 



93 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 

Notes to tables: 

(1) All timing parameters are described in Tables 32 through 38 in this data sheet. 

(2) Using an LE to register the signal may provide a lower setup time. 

(3) This parameter is specified by characterization. 



Tables 78 through 84 show EPF10K130V device internal and external 
timing parameters. 



Table 78. EPF10K130V Device LE Timing Microparameters Note (1) 


Symbol 


-2 Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


f LUT 




1.3 




1.8 




2.3 


ns 


f CLUT 




0.5 




0.7 




0.9 


ns 


Irlut 




1.2 




1.7 




2.2 


ns 


* PACKED 




0.5 




0.6 




0.7 


ns 


*EN 




0.6 




0.8 




1.0 


ns 


f CICO 




0.2 




0.3 




0.4 


ns 


hGEN 




0.3 




0.4 




0.5 


ns 


f CGENR 




0.7 




1.0 




1.3 


ns 


hASC 




0.9 




1.2 




1.5 


ns 


h 




1.9 




2.4 




3.0 


ns 


ho 




0.6 




0.9 




1.1 


ns 


hOMB 




0.5 




0.7 




0.9 


ns 


f su 


0.2 




0.2 




0.3 




ns 


t H 


0.0 




0.0 




0.0 




ns 


tpRE 




2.4 




3.1 




3.9 


ns 


tcLR 




2.4 




3.1 




3.9 


ns 


f CH 


4.0 




4.0 




4.0 




ns 


t C L 


4.0 




4.0 




4.0 




ns 



94 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 79. EPF10K130V Device IOE Timing Microparameters Note (1) 


Symbol 


-2 Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


hoD 




1.3 




1.6 




2.0 


ns 


hoc 




0.4 




0.5 




0.7 


ns 


hoco 




0.3 




0.4 




0.5 


ns 


t/OCOMB 




0.0 




0.0 




0.0 


ns 


tiosu 


2.6 




3.3 




3.8 




ns 


hoH 


0.0 




0.0 




0.0 




ns 


tlOCLR 




1.7 




2.2 




2.7 


ns 


t<DD1 




3.5 




4.4 




5.0 


ns 


foD2 




- 




- 




- 


ns 


f OD3 




8.2 




8.1 




9.7 


ns 


txz 




4.9 




6.3 




7.4 


ns 


f ZX1 




4.9 




6.3 




7.4 


ns 


*ZX2 




- 




- 




- 


ns 


tzX3 




9.6 




10.0 




12.1 


ns 


f INREG 




7.9 




10.0 




12.6 


ns 


t/OFD 




6.2 




7.9 




9.9 


ns 


tlNCOMB 




6.2 




7.9 




9.9 


ns 



Altera Corporation 



95 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 80. EPF10K130V Device EAB Internal Microparameters Note (1) 


Symbol 


-2 Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


Ieabdatai 




1.9 




2.4 




2.4 


ns 


t EABDATA2 




3.7 




4.7 




4.7 


ns 


f EABWE1 




1.9 




2.4 




2.4 


ns 


t EABWE2 




3.7 




4.7 




4.7 


ns 


f EABCLK 




0.7 




0.9 




0.9 


ns 


f EABCO 




0.5 




0.6 




0.6 


ns 


f EABBYPASS 




0.6 




0.8 




0.8 


ns 


tEABSU 


1.4 




1.8 




1.8 




ns 


f EABH 


0.0 




0.0 




0.0 




ns 


*AA 




5.6 




7.1 




7.1 


ns 


twp 


3.7 




4.7 




4.7 




ns 


twDSU 


4.6 




5.9 




5.9 




ns 


twDH 


0.0 




0.0 




0.0 




ns 


twASU 


3.9 




5.0 




5.0 




ns 


twAH 


0.0 




0.0 




0.0 




ns 


two 




5.6 




7.1 




7.1 


ns 


f DD 




5.6 




7.1 




7.1 


ns 


Ieabout 




2.4 




3.1 




3.1 


ns 


f EABCH 


4.0 




4.0 




4.0 




ns 


f EABCL 


4.0 




4.7 




4.7 




ns 



96 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 81. EPF10K130V Device EAB Internal Timing Macroparameters Note (1) 


Symbol 


-2 Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


*EABAA 




11.2 




14.2 




14.2 


ns 


Ieabrccomb 


11.1 




14.2 




14.2 




ns 


^eabrcreg 


8.5 




10.8 




10.8 




ns 


Ieabwp 


3.7 




4.7 




4.7 




ns 


Ieabwccomb 


7.6 




9.7 




9.7 




ns 


Ieabwcreg 


14.0 




17.8 




17.8 




ns 


^eabdd 




11.1 




14.2 




14.2 


ns 


^eabdataco 




3.6 




4.6 




4.6 


ns 


Ieabdatasu 


4.4 




5.6 




5.6 




ns 


^eabdatah 


0.0 




0.0 




0.0 




ns 


f EABWESU 


4.4 




5.6 




5.6 




ns 


f EABWEH 


0.0 




0.0 




0.0 




ns 


Ieabwdsu 


4.6 




5.9 




5.9 




ns 


Ieabwdh 


0.0 




0.0 




0.0 




ns 


f EABWASU 


3.9 




5.0 




5.0 




ns 


Ieabwah 


0.0 




0.0 




0.0 




ns 


^eabwo 




11.1 




14.2 




14.2 


ns 



Altera Corporation 



97 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Table 82. EPF10K130V Device Interconnect Timing Microparameters Note (1) 


Symbol 


-2 Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


f DIN2IOE 




8.0 




9.0 




9.5 


ns 


hlN2LE 




2.4 




3.0 




3.1 


ns 


hlN2DATA 




5.0 




6.3 




7.4 


ns 


f DCLK2IOE 




3.6 




4.6 




5.1 


ns 


hcLK2LE 




2.4 




3.0 




3.1 


ns 


tSAMELAB 




0.4 




0.6 




0.8 


ns 


t SAME ROW 




4.5 




5.3 




6.5 


ns 


tsAMECOLUMN 




9.0 




9.5 




9.7 


ns 


hlFFROW 




13.5 




14.8 




16.2 


ns 


* TWO ROWS 




18.0 




20.1 




22.7 


ns 


kEPERIPH 




8.1 




8.6 




9.5 


ns 


kABCARRY 




0.6 




0.8 




1.0 


ns 


f LABCASC 




0.8 




1.0 




1.2 


ns 



Table 83. EPF10K130V Device External Timing Parameters Note (1) 


Symbol 


-2 Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


*DRR 




15.0 




19.1 




24.2 


ns 


tlNSU (2), (3) 


6.9 




8.6 




11.0 




ns 


t|NH (3) 


0.0 




0.0 




0.0 




ns 


*OUTCO (3) 


2.0 


7.8 


2.0 


9.9 


2.0 


11.3 


ns 



Table 84. EPF10K130V Device External Bidirectional Timing Parameters Note (1) 


Symbol 


•2 Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


tlNSUBIDIR 


6.7 




8.5 




10.8 




ns 


tlNHBIDIR 


0.0 




0.0 




0.0 




ns 


toUTCOBIDIR 


2.0 


6.9 


2.0 


8.8 


2.0 


10.2 


ns 


txZBIDIR 




12.9 




16.4 




19.3 


ns 


tzXBIDIR 




12.9 




16.4 




19.3 


ns 



98 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Notes to tables: 

(1) All timing parameters are described in Tables 32 through 38 in this data sheet. 

(2) Using an LE to register the signal may provide a lower setup time. 

(3) This parameter is specified by characterization. 



Tables 85 through 91 show EPF10K10A device internal and external 
timing parameters. 



Table 85. EPF10K10A Device LE Timing Microparameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


kur 




0.9 




1.2 




1.6 


ns 


tcLUT 




1.2 




1.4 




1.9 


ns 


f RLUT 




1.9 




2.3 




3.0 


ns 


* PACK ED 




0.6 




0.7 




0.9 


ns 


*EN 




0.5 




0.6 




0.8 


ns 


hico 




02 




0.3 




0.4 


ns 


kGEN 




0.7 




0.9 




1.1 


ns 


tCGENR 




0.7 




0.9 




1.1 


ns 


f CASC 




1.0 




1.2 




1.7 


ns 


tc 




1.2 




1.4 




1.9 


ns 


f co 




0.5 




0.6 




0.8 


ns 


tcOMB 




0.5 




0.6 




0.8 


ns 


tsu 


1.1 




1.3 




1.7 




ns 


t H 


0.6 




0.7 




0.9 




ns 


tpRE 




0.5 




0.6 




0.9 


ns 


tCLR 




0.5 




0.6 




0.9 


ns 


tCH 


3.0 




3.5 




4.0 




ns 


*CL 


3.0 




3.5 




4.0 




ns 




Table 86. EPF10K10A Device IDE Timing Microparameters Note (1) (Part 1 of 2) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 






1.3 




1.5 




2.0 


ns 


tioc 




0.2 




0.3 




0.3 


ns 


tioco 




0.2 




0.3 




0.4 


ns 


t/OCOMB 




0.6 




0.7 




0.9 


ns 


f IOSU 


0.8 




1.0 




1.3 




ns 



Altera Corporation 



99 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 86. EPF10K10A Device IOE Timing Microparameters Note (1) (Part 2 of 2) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


hoH 


0.8 




1.0 




1.3 




ns 


UOCLR 




1.2 




1.4 




1.9 


ns 


f OD1 




1.2 




1.4 




1.9 


ns 


f OD2 




2.9 




3.5 




4.7 


ns 


f OD3 




6.6 




7.8 




10.5 


ns 


txz 




1.2 




1.4 




1.9 


ns 


f ZX1 




1.2 




1.4 




1.9 


ns 


*ZX2 




2.9 




3.5 




4.7 


ns 


f ZX3 




6.6 




7.8 




10.5 


ns 


t/NREG 




5.2 




6.3 




8.4 


ns 


t/OFD 




3.1 




3.8 




5.0 


ns 


t/NCOMB 




3.1 




3.8 




5.0 


ns 



100 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 87. EPF10K10A Device EAB Internal Microparameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


*EABDATA1 




3.3 




3.9 




5.2 


ns 


tEABDATA2 




1.0 




1.3 




1.7 


ns 


Ieabwei 




2.6 




3.1 




4.1 


ns 


i EABWE2 




2.7 




3.2 




4.3 


ns 


Ieabclk 




0.0 




0.0 




0.0 


ns 


Ieabco 




1.2 




1.4 




1.8 


ns 


^eabbypass 




0.1 




0.2 




0.2 


ns 


Ieabsu 


1.4 




1.7 




2.2 




ns 


Ieabh 


0.1 




0.1 




0.1 




ns 


f AA 




4.5 




5.4 




7.3 


ns 


twp 


2.0 




2.4 




3.2 




ns 


twDSU 


0.7 




0.8 




1.1 




ns 


t\A/DH 


0.5 




0.6 




0.7 




ns 


twASU 


0.6 




0.7 




0.9 




ns 


f WAH 


0.9 




1.1 




1.5 




ns 


two 




3.3 




3.9 




5.2 


ns 


tDD 




3.3 




3.9 




5.2 


ns 


tEABOUT 




0.1 




0.1 




0.2 


ns 


tEABCH 


3.0 




3.5 




4.0 




ns 


tEABCL 


3.03 




3.5 




4.0 




ns 



Altera Corporation 



101 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 88. EPF10K10A Device EAB Internal Timing Macroparameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


*EABAA 




8.1 




9.8 




13.1 


ns 


f EABRCCOMB 


8.1 




9.8 




13.1 




ns 


f EABRCREG 


5.8 




6.9 




9.3 




ns 


f EABWP 


2.0 




2.4 




3.2 




ns 


^EABWCCOMB 


3.5 




4.2 




5.6 




ns 


f EABWCREG 


9.4 




11.2 




14.8 




ns 


f EABDD 




6.9 




8.3 




11.0 


ns 


tEABDATACO 




1.3 




1.5 




2.0 


ns 


Ieabdatasu 


2.4 




3.0 




3.9 




ns 


^EABDATAH 


0.0 




0.0 




0.0 




ns 


Ieabwesu 


4.1 




4.9 




6.5 




ns 


Ieabweh 


0.0 




0.0 




0.0 




ns 


f EABWDSU 


1.4 




1.6 




2.2 




ns 


f EABWDH 


0.0 




0.0 




0.0 




ns 


f EABWASU 


2.5 




3.0 




4.1 




ns 


f EABWAH 


0.0 




0.0 




0.0 




ns 


^EABWO 




6.2 




7.5 




9.9 


ns 



102 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Table 89. EPF10K10A Device Interconnect Timing Microparameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


hlN2IOE 




4.2 




5.0 




6.5 


ns 


hlN2LE 




2.2 




2.6 




3.4 


ns 


hlN2DATA 




4.3 




5.2 




7.1 


ns 


hcLK2IOE 




4.2 




4.9 




6.6 


ns 


hcLK2LE 




2.2 




2.6 




3.4 


ns 


tsAMELAB 




0.1 




0.1 




0.2 


ns 


t SAME ROW 




2.2 




2.4 




2.9 


ns 


tsAMECOLUMN 




0.8 




1.0 




1.4 


ns 


folFFROW 




3.0 




3.4 




4.3 


ns 


t TWO ROWS 




5.2 




5.8 




7.2 


ns 


kEPERIPH 




1.8 




2.2 




2.8 


ns 


kABCARRY 




0.5 




0.5 




0.7 


ns 


kABCASC 




0.9 




1.0 




1.5 


ns 



Table 90. EPF10K10A External Reference Timing Parameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


*DRR 




10.0 




12.0 




16.0 


ns 


tlNSU (2h (3) 


1.6 




2.1 




2.8 




ns 


t|NH (3) 


0.0 




0.0 




0.0 




ns 


*OUTCO f 3 ) 


2.0 


5.8 


2.0 


6.9 


2.0 


9.2 


ns 



Table 91. EPF10K10A Device External Bidirectional Timing Parameters Note (1) 


Symbol 


-2 Speed Grade 


-3 Speed Grade 


-4 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


tlNSUBIDIR 


2.4 




3.3 




4.5 




ns 


tlNHBIDIR 


0.0 




0.0 




0.0 




ns 


*OUTCOBIDIR 


2.0 


5.8 


2.0 


6.9 


2.0 


9.2 


ns 


txZBIDIR 




6.3 




7.5 




9.9 


ns 


tzXBIDIR 




6.3 




7.5 




9.9 


ns 



Altera Corporation 



103 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 

Notes to tables: 

(1) All timing parameters are described in Tables 32 through 38 in this data sheet. 

(2) Using an LE to register the signal may provide a lower setup time. 

(3) This parameter is specified by characterization. 



Tables 92 through 98 show EPF10K30A device internal and external 
timing parameters. 



Table 92. EPF10K30A Device LE Timing Microparameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


kur 




0.8 




1.1 




1.5 


ns 


f CLUT 




0.6 




0.7 




1.0 


ns 


tRLUT 




1.2 




1.5 




2.0 


ns 


* PACKED 




0.6 




0.6 




1.0 


ns 


f EN 




1.3 




1.5 




2.0 


ns 


hico 




0.2 




0.3 




0.4 


ns 


f CGEN 




0.8 




1.0 




1.3 


ns 


f CGENR 




0.6 




0.8 




1.0 


ns 


f CASC 




0.9 




1.1 




1.4 


ns 


tc 




1.1 




1.3 




1.7 


ns 


f co 




0.4 




0.6 




0.7 


ns 


tcOMB 




0.6 




0.7 




0.9 


ns 


f SU 


0.9 




0.9 




1.4 




ns 


t H 


1.1 




1.3 




1.7 




ns 


tpRE 




0.5 




0.6 




0.8 


ns 


tcLR 




0.5 




0.6 




0.8 


ns 


tCH 


3.0 




3.5 




4.0 




ns 


*CL 


3.0 




3.5 




4.0 




ns 




Table 93. EPF10K30A Device IDE Timing Microparameters Note (1) (Part 1 of 2) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


f IOD 




2.2 




2.6 




3.4 


ns 


hoc 




0.3 




0.3 




0.5 


ns 


tioco 




0.2 




0.2 




0.3 


ns 


t/OCOMB 




0.5 




0.6 




0.8 


ns 


t/osu 


1.4 




1.7 




2.2 




ns 



104 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 93. EPF10K30A Device IOE Timing Microparameters Note (1) (Part 2 of 2) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


tlOH 


0.9 




1.1 




1.4 




ns 


t/OCLR 




0.7 




0.8 




1.0 


ns 


foD1 




1.9 




2.2 




2.9 


ns 


t(DD2 




4.8 




5.6 




7.3 


ns 


f OD3 




7.0 




8.2 




10.8 


ns 


txz 




2.2 




2.6 




3.4 


ns 


tzxi 




2.2 




2.6 




3.4 


ns 


*ZX2 




5.1 




6.0 




7.8 


ns 


f ZX3 




7.3 




8.6 




11.3 


ns 


t/NREG 




4.4 




5.2 




6.8 


ns 


h0FD 




3.8 




4.5 




5.9 


ns 


hNCOMB 




3.8 




4.5 




5.9 


ns 



Altera Corporation 



105 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 94. EPF10K30A Device EAB Internal Microparameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


Ieabdatai 




5.5 




6.5 




8.5 


ns 


t EABDATA2 




1.1 




1.3 




1.8 


ns 


^EABWEI 




2.4 




2.8 




3.7 


ns 


f EABWE2 




2.1 




2.5 




3.2 


ns 


f EABCLK 




0.0 




0.0 




0.2 


ns 


f EABCO 




1.7 




2.0 




2.6 


ns 


f EABBYPASS 




0.0 




0.0 




0.3 


ns 


tEABSU 


1.2 




1.4 




1.9 




ns 


f EABH 


0.1 




0.1 




0.3 




ns 


*AA 




4.2 




5.0 




6.5 


ns 


twp 


3.8 




4.5 




5.9 




ns 


twDSU 


0.1 




0.1 




0.2 




ns 


twDH 


0.1 




0.1 




0.2 




ns 


twASU 


0.1 




0.1 




0.2 




ns 


twAH 


0.1 




0.1 




0.2 




ns 


two 




3.7 




4.4 




6.4 


ns 


f DD 




3.7 




4.4 




6.4 


ns 


Ieabout 




0.0 




0.1 




0.6 


ns 


f EABCH 


3.0 




3.5 




4.0 




ns 


f EABCL 


3.8 




4.5 




5.9 




ns 



106 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 95. EPF10K30A Device EAB Internal Timing Macroparameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


*EABAA 




9.7 




11.6 




16.2 


ns 


Ieabrccomb 


9.7 




11.6 




16.2 




ns 


^eabrcreg 


5.9 




7.1 




9.7 




ns 


Ieabwp 


3.8 




4.5 




5.9 




ns 


Ieabwccomb 


4.0 




4.7 




6.3 




ns 


Ieabwcreg 


9.8 




11.6 




16.6 




ns 


^eabdd 




9.2 




11.0 




16.1 


ns 


^eabdataco 




1.7 




2.1 




3.4 


ns 


Ieabdatasu 


2.3 




2.7 




3.5 




ns 


^eabdatah 


0.0 




0.0 




0.0 




ns 


f EABWESU 


3.3 




3.9 




4.9 




ns 


f EABWEH 


0.0 




0.0 




0.0 




ns 


Ieabwdsu 


3.2 




3.8 




5.0 




ns 


Ieabwdh 


0.0 




0.0 




0.0 




ns 


f EABWASU 


3.7 




4.4 




5.1 




ns 


Ieabwah 


0.0 




0.0 




0.0 




ns 


^eabwo 




6.1 




7.3 




11.3 


ns 



Altera Corporation 



107 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Table 96. EPF10K30A Device Interconnect Timing Microparameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


f DIN2IOE 




3.9 




4.4 




5.1 


ns 


hlN2LE 




1.2 




1.5 




1.9 


ns 


hlN2DATA 




3.2 




3.6 




4.5 


ns 


f DCLK2IOE 




3.0 




3.5 




4.6 


ns 


hcLK2LE 




1.2 




1.5 




1.9 


ns 


tSAMELAB 




0.1 




0.1 




0.2 


ns 


t SAME ROW 




2.3 




2.4 




2.7 


ns 


tsAMECOLUMN 




1.3 




1.4 




1.9 


ns 


hlFFROW 




3.6 




3.8 




4.6 


ns 


* TWO ROWS 




5.9 




6.2 




7.3 


ns 


kEPERIPH 




3.5 




3.8 




4.1 


ns 


kABCARRY 




0.3 




0.4 




0.5 


ns 


f LABCASC 




0.9 




1.1 




1.4 


ns 



Table 97. EPF10K30A External Reference Timing Parameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


*DRR 




11.0 




13.0 




17.0 


ns 


tiNSU (2), (3) 


2.5 




3.1 




3.9 




ns 


t|NH (3) 


0.0 




0.0 




0.0 




ns 


*OUTCO ( 3 ) 


2.0 


5.4 


2.0 


6.2 


2.0 


8.3 


ns 



Table 98. EPF10K30A Device External Bidirectional Timing Parameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


tlNSUBIDIR 


4.2 




4.9 




6.8 




ns 


tlNHBIDIR 


0.0 




0.0 




0.0 




ns 


toUTCOBIDIR 


2.0 


5.4 


2.0 


6.2 


2.0 


8.3 


ns 


txZBIDIR 




6.2 




7.5 




9.8 


ns 


tzXBIDIR 




6.2 




7.5 




9.8 


ns 



108 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Notes to tables: 

(1) All timing parameters are described in Tables 32 through 38 in this data sheet. 

(2) Using an LE to register the signal may provide a lower setup time. 

(3) This parameter is specified by characterization. 



Tables 99 through 105 show EPF10K100A device internal and external 
timing parameters. 



Table 99. EPF10K100A Device LE Timing Microparameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


kur 




1.0 




1.2 




1.4 


ns 


kLUT 




0.8 




0.9 




1.1 


ns 


Irlut 




1.4 




1.6 




1.9 


ns 


* PACK ED 




0.4 




0.5 




0.5 


ns 


t/V 




0.6 




0.7 




0.8 


ns 


hico 




0.2 




0.2 




0.3 


ns 


tCGEN 




0.4 




0.4 




0.6 


ns 


tCGENR 




0.6 




0.7 




0.8 


ns 


f CASC 




0.7 




0.9 




1.0 


ns 


tc 




0.9 




1.0 




1.2 


ns 


f co 




0.2 




0.3 




0.3 


ns 


tcOMB 




0.6 




0.7 




0.8 


ns 


tsu 


0.8 




1.0 




1.2 




ns 


t H 


0.3 




0.5 




0.5 




ns 


tpRE 




0.3 




0.3 




0.4 


ns 


f CLR 




0.3 




0.3 




0.4 


ns 


tCH 


2.5 




3.5 




4.0 




ns 


t C L 


2.5 




3.5 




4.0 




ns 



Altera Corporation 



109 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 100. EPF10K100A Device IOE Timing Microparameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


t/OD 




2.5 




2.9 




3.4 


ns 


hoc 




0.3 




0.3 




0.4 


ns 


hoco 




0.2 




0.2 




0.3 


ns 


t/OCOMB 




0.5 




0.6 




0.7 


ns 


f IOSU 


1.3 




1.7 




1.8 




ns 


hOH 


0.2 




0.2 




0.3 




ns 


tlOCLR 




1.0 




1.2 




1.4 


ns 


foD1 




2.2 




2.6 




3.0 


ns 


hD2 




4.5 




5.3 




6.1 


ns 


f OD3 




6.8 




7.9 




9.3 


ns 


txz 




2.7 




3.1 




3.7 


ns 


*ZX1 




2.7 




3.1 




3.7 


ns 


f ZX2 




5.0 




5.8 




6.8 


ns 


f ZX3 




7.3 




8.4 




10.0 


ns 


t/NREG 




5.3 




6.1 




7.2 


ns 


UOFD 




4.7 




5.5 




6.4 


ns 


t/NCOMB 




4.7 




5.5 




6.4 


ns 



110 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 101. EPF10K100A Device EAB Internal Microparameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


*EABDATA1 




1.8 




2.1 




2.4 


ns 


tEABDATA2 




3.2 




3.7 




4.4 


ns 


Ieabwei 




0.8 




0.9 




1.1 


ns 


i EABWE2 




2.3 




2.7 




3.1 


ns 


Ieabclk 




0.8 




0.9 




1.1 


ns 


Ieabco 




1.0 




1.1 




1.4 


ns 


Ieabbypass 




0.3 




0.3 




0.4 


ns 


Ieabsu 


1.3 




1.5 




1.8 




ns 


Ieabh 


0.4 




0.5 




0.5 




ns 


f AA 




4.1 




4.8 




5.6 


ns 


twp 


3.2 




3.7 




4.4 




ns 


twDSU 


2.4 




2.8 




3.3 




ns 


t\A/DH 


0.2 




0.2 




0.3 




ns 


twASU 


0.2 




0.2 




0.3 




ns 


f WAH 


0.0 




0.0 




0.0 




ns 


two 




3.4 




3.9 




4.6 


ns 


tDD 




3.4 




3.9 




4.6 


ns 


tEABOUT 




0.3 




0.3 




0.4 


ns 


tEABCH 


2.5 




3.5 




4.0 




ns 


tEABCL 


3.2 




3.7 




4.4 




ns 



Altera Corporation 



111 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 102. EPF10K100A Device EAB Internal Timing Macroparameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


f EABAA 




6.8 




7.8 




9.2 


ns 


f EABRCCOMB 


6.8 




7.8 




9.2 




ns 


f EABRCREG 


5.4 




6.2 




7.4 




ns 


f EABWP 


3.2 




3.7 




4.4 




ns 


^EABWCCOMB 


3.4 




3.9 




4.7 




ns 


f EABWCREG 


9.4 




10.8 




12.8 




ns 


f EABDD 




6.1 




6.9 




8.2 


ns 


tEABDATACO 




2.1 




2.3 




2.9 


ns 


Ieabdatasu 


3.7 




4.3 




5.1 




ns 


^EABDATAH 


0.0 




0.0 




0.0 




ns 


Ieabwesu 


2.8 




3.3 




3.8 




ns 


Ieabweh 


0.0 




0.0 




0.0 




ns 


f EABWDSU 


3.4 




4.0 




4.6 




ns 


f EABWDH 


0.0 




0.0 




0.0 




ns 


f EABWASU 


1.9 




2.3 




2.6 




ns 


Ieabwah 


0.0 




0.0 




0.0 




ns 


^EABWO 




5.1 




5.7 




6.9 


ns 



112 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Table 103. EPF10K100A Device Interconnect Timing Microparameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


hlN2IOE 




4.8 




5.4 




6.0 


ns 


hlN2LE 




2.0 




2.4 




2.7 


ns 


hlN2DATA 




2.4 




2.7 




2.9 


ns 


hcLK2IOE 




2.6 




3.0 




3.5 


ns 


hcLK2LE 




2.0 




2.4 




2.7 


ns 


tsAMELAB 




0.1 




0.1 




0.1 


ns 


t SAME ROW 




1.5 




1.7 




1.9 


ns 


tsAMECOLUMN 




5.5 




6.5 




7.4 


ns 


folFFROW 




7.0 




8.2 




9.3 


ns 


t TWO ROWS 




8.5 




9.9 




11.2 


ns 


kEPERIPH 




3.9 




4.2 




4.5 


ns 


kABCARRY 




0.2 




0.2 




0.3 


ns 


kABCASC 




0.4 




0.5 




0.6 


ns 



Table 104. EPF10K100A Device External Timing Parameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


*DRR 




12.5 




14.5 




17.0 


ns 


tlNSU (2), (3) 


3.7 




4.5 




5.1 




ns 


t|NH (3) 


0.0 




0.0 




0.0 




ns 


*OUTCO f 3 ) 


2.0 


5.3 


2.0 


6.1 


2.0 


7.2 


ns 



Table 105. EPF10K100A Device External Bidirectional Timing Parameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


tlNSUBIDIR 


4.9 




5.8 




6.8 




ns 


tlNHBIDIR 


0.0 




0.0 




0.0 




ns 


*OUTCOBIDIR 


2.0 


5.3 


2.0 


6.1 


2.0 


7.2 


ns 


txZBIDIR 




7.4 




8.6 




10.1 


ns 


tzXBIDIR 




7.4 




8.6 




10.1 


ns 



Altera Corporation 



113 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 

Notes to tables: 

(1) All timing parameters are described in Tables 32 through 38 in this data sheet. 

(2) Using an LE to register the signal may provide a lower setup time. 

(3) This parameter is specified by characterization. 



Tables 106 through 112 show EPF10K250A device internal and external 
timing parameters. 



Table 106. EPF10K250A Device LE Timing Microparameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


f LUT 




0.9 




1.0 




1.4 


ns 


f CLUT 




1.2 




1.3 




1.6 


ns 


Irlut 




2.0 




2.3 




2.7 


ns 


* PACKED 




0.4 




0.4 




0.5 


ns 


*EN 




1.4 




1.6 




1.9 


ns 


f CICO 




0.2 




0.3 




0.3 


ns 


hGEN 




0.4 




0.6 




0.6 


ns 


tCGENR 




0.8 




1.0 




1.1 


ns 


hASC 




0.7 




0.8 




1.0 


ns 


h 




1.2 




1.3 




1.6 


ns 


ho 




0.6 




0.7 




0.9 


ns 


hOMB 




0.5 




0.6 




0.7 


ns 


f su 


1.2 




1.4 




1.7 




ns 


t H 


1.2 




1.3 




1.6 




ns 


tpRE 




0.7 




0.8 




0.9 


ns 


tcLR 




0.7 




0.8 




0.9 


ns 


f CH 


2.5 




3.0 




3.5 




ns 


t C L 


2.5 




3.0 




3.5 




ns 



114 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 107. EPF10K250A Device IOE Timing Microparameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


hoD 




1.2 




1.3 




1.6 


ns 


hoc 




0.4 




0.4 




0.5 


ns 


hoco 




0.8 




0.9 




1.1 


ns 


t/OCOMB 




0.7 




0.7 




0.8 


ns 


tiosu 


2.7 




3.1 




3.6 




ns 


hoH 


0.2 




0.3 




0.3 




ns 


tlOCLR 




1.2 




1.3 




1.6 


ns 


t<DD1 




3.2 




3.6 




4.2 


ns 


foD2 




5.9 




6.7 




7.8 


ns 


f OD3 




8.7 




9.8 




11.5 


ns 


txz 




3.8 




4.3 




5.0 


ns 


f ZX1 




3.8 




4.3 




5.0 


ns 


*ZX2 




6.5 




7.4 




8.6 


ns 


tzX3 




9.3 




10.5 




12.3 


ns 


f INREG 




8.2 




9.3 




10.9 


ns 


t/OFD 




9.0 




10.2 




12.0 


ns 


tlNCOMB 




9.0 




10.2 




12.0 


ns 



Altera Corporation 



115 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 108. EPF10K250A Device EAB Internal Microparameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


Ieabdatai 




1.3 




1.5 




1.7 


ns 


t EABDATA2 




1.3 




1.5 




1.7 


ns 


^EABWEI 




0.9 




1.1 




1.3 


ns 


f EABWE2 




5.0 




5.7 




6.7 


ns 


f EABCLK 




0.6 




0.7 




0.8 


ns 


f EABCO 




0.0 




0.0 




0.0 


ns 


f EABBYPASS 




0.1 




0.1 




0.2 


ns 


tEABSU 


3.8 




4.3 




5.0 




ns 


f EABH 


0.7 




0.8 




0.9 




ns 


*AA 




4.5 




5.0 




5.9 


ns 


twp 


5.6 




6.4 




7.5 




ns 


twDSU 


1.3 




1.4 




1.7 




ns 


twDH 


0.1 




0.1 




0.2 




ns 


twASU 


0.1 




0.1 




0.2 




ns 


twAH 


0.1 




0.1 




0.2 




ns 


two 




4.1 




4.6 




5.5 


ns 


f DD 




4.1 




4.6 




5.5 


ns 


Ieabout 




0.1 




0.1 




0.2 


ns 


f EABCH 


2.5 




3.0 




3.5 




ns 


f EABCL 


5.6 




6.4 




7.5 




ns 



116 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 109. EPF10K250A Device EAB Internal Timing Macroparameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


*EABAA 




6.1 




6.8 




8.2 


ns 


Ieabrccomb 


6.1 




6.8 




8.2 




ns 


^eabrcreg 


4.6 




5.1 




6.1 




ns 


Ieabwp 


5.6 




6.4 




7.5 




ns 


Ieabwccomb 


5.8 




6.6 




7.9 




ns 


Ieabwcreg 


15.8 




17.8 




21.0 




ns 


^eabdd 




5.7 




6.4 




7.8 


ns 


^eabdataco 




0.7 




0.8 




1.0 


ns 


Ieabdatasu 


4.5 




5.1 




5.9 




ns 


^eabdatah 


0.0 




0.0 




0.0 




ns 


f EABWESU 


8.2 




9.3 




10.9 




ns 


f EABWEH 


0.0 




0.0 




0.0 




ns 


Ieabwdsu 


1.7 




1.8 




2.1 




ns 


Ieabwdh 


0.0 




0.0 




0.0 




ns 


f EABWASU 


0.9 




0.9 




1.0 




ns 


Ieabwah 


0.0 




0.0 




0.0 




ns 


^eabwo 




5.3 




6.0 




7.4 


ns 



Altera Corporation 



117 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Table 110. EPF10K250A Device Interconnect Timing Microparameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


f DIN2IOE 




7.8 




8.5 




9.4 


ns 


hlN2LE 




2.7 




3.1 




3.5 


ns 


hlN2DATA 




1.6 




1.6 




1.7 


ns 


f DCLK2IOE 




3.6 




4.0 




4.6 


ns 


hcLK2LE 




2.7 




3.1 




3.5 


ns 


tSAMELAB 




0.2 




0.3 




0.3 


ns 


t SAME ROW 




6.7 




7.3 




8.2 


ns 


tsAMECOLUMN 




2.5 




2.7 




3.0 


ns 


hlFFROW 




9.2 




10.0 




11.2 


ns 


* TWO ROWS 




15.9 




17.3 




19.4 


ns 


kEPERIPH 




7.5 




8.1 




8.9 


ns 


kABCARRY 




0.3 




0.4 




0.5 


ns 


f LABCASC 




0.4 




0.4 




0.5 


ns 



Table 111. EPF10K250A Device External Reference Timing Parameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


*DRR 




15.0 




17.0 




20.0 


ns 


t\USu(2),(3) 


6.9 




8.0 




9.4 




ns 


t|NH (3) 


0.0 




0.0 




0.0 




ns 


*OUTCO ( 3 ) 


2.0 


8.0 


2.0 


8.9 


2.0 


10.4 


ns 



Table 112. EPF10K250A Device External Bidirectional Timing Parameters Note (1) 


Symbol 


-1 Speed Grade 


-2 Speed Grade 


-3 Speed Grade 


Unit 


Min 


Max 


Min 


Max 


Min 


Max 


tlNSUBIDIR 


9.3 




10.6 




12.7 




ns 


tlNHBIDIR 


0.0 




0.0 




0.0 




ns 


toUTCOBIDIR 


2.0 


8.0 


2.0 


8.9 


2.0 


10.4 


ns 


txZBIDIR 




10.8 




12.2 




14.2 


ns 


tzXBIDIR 




10.8 




12.2 




14.2 


ns 



118 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Notes to tables: 

(1) All timing parameters are described in Tables 32 through 37 in this data sheet. 

(2) Using an LE to register the signal may provide a lower setup time. 

(3) This parameter is specified by characterization. 



ClockLock & 
ClockBoost 
Timing 
Parameters 



For the ClockLock and ClockBoost circuitry to function properly, the 
incoming clock must meet certain requirements. If these specifications are 
not met, the circuitry may not lock onto the incoming clock, which 
generates an erroneous clock within the device. The clock generated by 
the ClockLock and ClockBoost circuitry must also meet certain 
specifications. If the incoming clock meets these requirements during 
configuration, the ClockLock and ClockBoost circuitry will lock onto the 
clock during configuration. The circuit will be ready for use immediately 
after configuration. Figure 31 illustrates the incoming and generated clock 
specifications. 



Figure 31. Specifications for the Incoming & Generated Clocks 

The tj parameter refers to the nominal input clock period; the t parameter refers to the 
nominal output clock period. 



tCLK1 t/NDUTY 
►^ ►!■* K 



tl± fcLKDEV 



mpu. _j— %_j— i_j— ^_t~ v-vT- y_j— \ 

Clock j: ii : i : : 



tR t F 



tl± tlNCLKSTB 



toUTDUTY 



ClockLock- 
Generated 
Clock 



Jr^LJr^jr^-Jc^^r^^^ 



to to + t JITTER to - t JITTER 



Table 113 summarizes the ClockLock and ClockBoost parameters. 



Table 113. ClockLock & ClockBoost Parameters (Part 1 of 2) 


Symbol 


Parameter 


Min 


Typ 


Max 


Unit 


tR 


Input rise time 






2 


ns 


t F 


Input fall time 






2 


ns 


t/NDUTY 


Input duty cycle 


45 




55 


% 


f CLK1 


Input clock frequency (ClockBoost clock multiplication factor equals 1) 


30 




80 


MHz 


tcLK1 


Input clock period (ClockBoost clock multiplication factor equals 1) 


12.5 




33.3 


ns 


fcLK2 


Input clock frequency (ClockBoost clock multiplication factor equals 2) 


16 




50 


MHz 


hLK2 


Input clock period (ClockBoost clock multiplication factor equals 2) 


20 




62.5 


ns 



Altera Corporation 



119 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 





Table 1 13. Clock Lock & ClockBoost Parameters (Part 2 of 2) 


Symbol 


Parameter 


Min 


Typ 


Max 


Unit 


fcLKDEVI 


Input deviation from user specification in MAX+PLUS II (ClockBoost clock 
multiplication factor equals 1) (1) 






±1 


MHz 


fcLKDEV2 


Input deviation from user specification in MAX+PLUS II (ClockBoost clock 
multiplication factor equals 2) (1) 






±0.5 


MHz 


t/NCLKSTB 


Input clock stability (measured between adjacent clocks) 






100 


ps 


hocK 


Time required for ClockLock or ClockBoost to acquire lock (2) 






10 


(IS 


tjlTTER 


Jitter on ClockLock or ClockBoost-generated clock (3) 






1 


ns 


huTDUTY 


Duty cycle for ClockLock or ClockBoost-generated clock 


40 


50 


60 


% 



Notes: 

(1) To implement the ClockLock and ClockBoost circuitry with the MAX+PLUS II software, designers must specify the 
input frequency. The MAX+PLUS II software tunes the PLL in the ClockLock and ClockBoost circuitry to this 
frequency. The /clkdev parameter specifies how much the incoming clock can differ from the specified frequency 
during device operation. Simulation does not reflect this parameter. 

(2) During device configuration, the ClockLock and ClockBoost circuitry is configured before the rest of the device. If 
the incoming clock is supplied during configuration, the ClockLock and ClockBoost circuitry locks during 
configuration, because the tiQQ K value is less than the time required for configuration. 

(3) The tiiTTER specification is measured under long-term observation. 



Power 
Consumption 



The supply power (P) for FLEX 10K devices can be calculated with the 
following equation: 

P = Pint + Pro = (Iccstandby + Iccactive) x v cc + Pro 



Typical Iccstandby values are shown as Icco m * ne FLEX 10K device DC 
operating conditions tables on pages 46, 49, and 52 of this data sheet. The 
INACTIVE va l ue depends on the switching frequency and the application 
logic. This value is calculated based on the amount of current that each LE 
typically consumes. The Pjq value, which depends on the device output 
load characteristics and switching frequency, can be calculated using the 
guidelines given in Application Note 74 (Evaluating Power for Altera Devices). 

tOP Compared to the rest of the device, the embedded array 
consumes a negligible amount of power. Therefore, the 
embedded array can be ignored when calculating supply 
current. 

The Iccactive value is calculated with the following equation: 

uA 
Iccactive = &* ^max x N x tog LC x MH ^ - LR 

The parameters in this equation are shown below: 



120 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



*MAX 

N 

tOgLC 
K 



Maximum operating frequency in MHz 

Total number of logic cells used in the device 

Average percent of logic cells toggling at each clock 

(typically 12.5%) 

Constant, shown in Tables 114 and 115 



Table 114. FLEX 10KK Constant Values 


Device 


K Value 


EPF10K10 


82 


EPF10K20 


89 


EPF10K30 


88 


EPF10K40 


92 


EPF10K50 


95 


EPF10K70 


85 


EPF10K100 


88 




Table 115. FLEX 10KA K Constant Values 


Device 


K Value 


EPF10K10A 


17 


EPF10K30A 


17 


EPF10K50V 


19 


EPF10K100A 


19 


EPF10K130V 


22 


EPF10K250A 


23 



This calculation provides an Iqq estimate based on typical conditions with 
no output load. The actual I cc should be verified during operation 
because this measurement is sensitive to the actual pattern in the device 
and the environmental operating conditions. 

To better reflect actual designs, the power model (and the constant K in 
the power calculation equations) for continuous interconnect FLEX 
devices assumes that logic cells drive FastTrack Interconnect channels. In 
contrast, the power model of segmented FPGAs assumes that all logic 
cells drive only one short interconnect segment. This assumption may 
lead to inaccurate results, compared to measured power consumption for 
an actual design in a segmented interconnect FPGA. 

Figure 32 shows the relationship between the current and operating 
frequency of FLEX 10K devices. 



Altera Corporation 



121 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Figure 32. Inactive vs - Operating Frequency (Part 1 of 3) 
EPF10K10 EPF10K20 



500 - 
450 - 
400 - 

350 - 



l C c Supply 3oo - 
Current (mA) 250 - 



200 - 
150 - 
100 - 

50 - 




1,000 - 

900 - 

800 - 

700 - 

■ o . 600 - 

l cc Supply 
Current (mA) 50 ° H 

400 - 



Frequency (MHz 




Frequency (MHz) 



EPF10K30 



EPF10K40 



1,600 
1,400 
1,200 
1.000 



'CC Supply 
Current (mA) soo 



600 
400 
200 




2,500 - 



2,000 - 



l cc Supply 1i500 
Current (mA) 



1,000 - 



500 - 



Frequency (MHz) 




Frequency (MHz) 



EPF10K50 



EPF10K70 



3,000 - 



2,500 - 



2,000 - 



l cc Supply 
Current (mA) 1i500 



1,000 - 



500 - 




15 30 45 

Frequency (MHz) 



3,500 - 
3,000 - 
2.500 - 



l C c Supply 2,000 
Current (mA) 

1,500 -I 




Frequency (MHz) 



122 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Figure 32. Inactive vs - Operating Frequency (Part 2 of 3) 
EPF10K100 EPF10K50V 



l cc Supply 
Current (mA) 




l cc Supply 
Current (mA) 



Frequency (MHz) 




100 



Frequency (MHz) 



EPF10K130V 



2,000 - 



1,500 - 



l cc Supply 
Current (mA) 1,000 



500 - 




100 



Frequency (MHz) 



EPF10K10A 



150 - 



100 - 



'cc Supply 
Current (mA) 




100 



Frequency (MHz) 



EPF10K30A 



EPF10K100A 



400 - 



300 - 



l cc Supply 
Current (mA) 2 oo -I 



100 - 




1,200- 



900- 



lcc Supply 6Q0 
Current (mA) 



300 - 



Frequency (MHz 




100 



Frequency (MHz) 



Altera Corporation 



123 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Figure 32. Iqcactive vs - Operating Frequency (Part 3 of 3) 
EPF10K250A 

3,500 -I 
3,000 - 

2,500 - 
ICC Su PP!y 2,000 - 

Current (mA) 

1 ,500 -I 
1 ,000 - 

500 - 






100 



Frequency (MHz 



Configuration & 
Operation 



The FLEX 10K architecture supports several configuration schemes. This 
section summarizes the device operating modes and available device 
configuration schemes. 

See Application Note 116 (Configuring APEX 20K, FLEX 10K & FLEX 6000 
Devices) for detailed descriptions of device configuration options, device 
configuration pins, and for information on configuring FLEX 10K devices, 
including sample schematics, timing diagrams, and configuration 
parameters. 



Operating Modes 

The FLEX 10K architecture uses SRAM configuration elements that 
require configuration data to be loaded every time the circuit powers up. 
The process of physically loading the SRAM data into the device is called 
configuration. Before configuration, as VCC rises, the device initiates a 
Power-On Reset (POR). This POR event clears the device and prepares it 
for configuration. The FLEX 10K POR time does not exceed 50 jis. 

During initialization, which occurs immediately after configuration, the 
device resets registers, enables I/O pins, and begins to operate as a logic 
device. The I/O pins are tri-stated during power-up, and before and 
during configuration. Together, the configuration and initialization 
processes are called command mode; normal device operation is called user 
mode. 



124 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



SRAM configuration elements allow FLEX 10K devices to be reconfigured 
in-circuit by loading new configuration data into the device. Real-time 
reconfiguration is performed by forcing the device into command mode 
with a device pin, loading different configuration data, reinitializing the 
device, and resuming user-mode operation. 

The entire reconfiguration process may be completed in less than 320 ms 
using an EPF10K250A device with a DCLK frequency of 10 MHz. This 
process can be used to reconfigure an entire system dynamically. In-field 
upgrades can be performed by distributing new configuration files. 

\5j^ Refer to the configuration device data sheet to obtain the POR 
delay when using a configuration device method. 

Programming Files 

Despite being function- and pin-compatible, FLEX 10KA and FLEX 10KE 
devices are not programming- or configuration-file compatible with 
FLEX 10K devices. A design should be recompiled before it is transferred 
from a FLEX 10K device to an equivalent FLEX 10KA or FLEX 10KE 
device. This recompilation should be performed to create a new 
programming or configuration file and to check design timing on the 
faster FLEX 10KA or FLEX 10KE device. The programming or 
configuration files for EPF10K50 devices can program or configure an 
EPF10K50V device. However, Altera recommends recompiling a design 
for the EPF10K50V device when transferring it from the EPF10K50 device. 

Configuration Schemes 

The configuration data for a FLEX 10K device can be loaded with one of 
five configuration schemes (see Table 116), chosen on the basis of the 
target application. An EPC1, EPC2, EPC16, or EPC1441 configuration 
device, intelligent controller, or the JTAG port can be used to control the 
configuration of a FLEX 10K device, allowing automatic configuration on 
system power-up. 



Altera Corporation 125 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 

Multiple FLEX 10K devices can be configured in any of the five 
configuration schemes by connecting the configuration enable (nCE) and 
configuration enable output (nCEO) pins on each device. 



Table 116. Data Sources for Configuration 


Configuration Scheme 


Data Source 


Configuration device 


EPC1, EPC2, EPC16, or EPC1441 configuration device 


Passive serial (PS) 


BitBlaster, MasterBlaster, or ByteBlasterMV download cable, or 
serial data source 


Passive parallel asynchronous (PPA) 


Parallel data source 


Passive parallel synchronous (PPS) 


Parallel data source 


JTAG 


BitBlaster, MasterBlaster, or ByteBlasterMV download cable, or 
microprocessor with Jam STAPL file or Jam Byte-Code file 



Device Pin- 
Outs 



See the Altera web site (http://www.altera.com) or the Altera Digital 
Library for pin-out information. 



Revision 
History 



The information contained in the FLEX 10K Embedded Programmable Logic 
Device Family Data Sheet version 4.2 supersedes information published in 
previous versions. 



Version 4.2 Changes 

The following change was made to version 4.2 of the FLEX 10K Embedded 
Programmable Logic Device Family Data Sheet: updated Figure 13. 

Version 4.1 Changes 

The following changes were made to version 4.1 of the FLEX 10K 
Embedded Programmable Logic Device Family Data Sheet. 

■ Updated General Description section 

■ Updated I/O Element section 

■ Updated SameFrame Pin-Outs section 

■ Updated Figure 16 

■ Updated Tables 13 and 116 

■ Added Note 9 to Table 19 

■ Added Note 10 to Table 24 

■ Added Note 10 to Table 28 



126 



Altera Corporation 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



Notes: 



Altera Corporation 



127 



FLEX 10K Embedded Programmable Logic Device Family Data Sheet 



MMV&. 



101 Innovation Drive 
San Jose, CA 95134 
(408) 544-7000 
http://www.altera.com 
Applications Hotline: 
(800) 800-EPLD 
Customer Marketing: 
(408)544-7104 
Literature Services: 
lit_req@altera.com 



Copyright © 2003 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the 
stylized Altera logo, specific device designations, and all other words and logos that are identified as 
trademarks and /or service marks are, unless noted otherwise, the trademarks and service marks of Altera 
Corporation in the U.S. and other countries. All other product or service names are the property of their 
respective holders. Altera products are protected under numerous U.S. and foreign patents and pending 
applications, maskwork rights, and copyrights. Altera warrants performance of its semiconductor products to 
current specifications in accordance with Altera's standard warranty, but reserves the right to 
make changes to any products and services at any time without notice. Altera assumes no 
responsibility or liability arising out of the application or use of any information, product, or 
service described herein except as expressly agreed to in writing by Altera Corporation. 
Altera customers are advised to obtain the latest version of device specifications before 
relying on any published information and before placing orders for products or services. 

I.S. EN ISO 9001 



ns&i 




128 



Altera Corporation